ni Lessons from an Ankhon Dekhi Prime Minister By indiauncut.com Published On :: 2019-05-05T03:17:51+00:00 This is the 19th installment of The Rationalist, my column for the Times of India. A friend of mine was very impressed by the interview Narendra Modi granted last week to Akshay Kumar. ‘Such a charming man, such great work ethic,’ he gushed. ‘He is the kind of uncle I would want my kids to have.’ And then, in the same breath, he asked, ‘How can such a good man be such a bad prime minister?” I don’t want to be uncharitable and suggest that Modi’s image is entirely manufactured, so let’s take the interview at face value. Let’s also grant Modi his claims about the purity of his neeyat (intentions), and reframe the question this way: when it comes to public policy, why do good intentions often lead to bad outcomes? To attempt an answer, I’ll refer to a story a friend of mine, who knows Modi well, once told me about him. Modi was chilling with his friends at home more than a decade ago, and told them an incident from his childhood. His mother was ill once, and the young Narendra was tending to her. The heat was enervating, so the boy went to the switchboard to switch on the fan. But there was no electricity. My friend said that as he told this story, Modi’s eyes filled with tears. Even after all these years, he was moved by the memory. My friend used this story to make the point that Modi’s vision of the world is experiential. If he experiences something, he understands it. When he became chief minister of Gujarat, he made it his stated mission to get reliable electricity to every part of Gujarat. No doubt this was shaped by the time he flicked a switch as a young boy and the fan did not budge. Similarly, he has given importance to things like roads and cleanliness, since he would have experienced the impact of those as a young man. My term for him, inspired by Rajat Kapoor’s 2014 film, is ‘the ankhon dekhi prime minister’. At one level, this is a good thing. He sees a problem and works for the rest of his life to solve it. But what of things he cannot experience? The economy is a complex beast, as is society itself, and beyond a certain level, you need to grasp abstract concepts to understand how the world works. You cannot experience them. For example, spontaneous order, or the idea that society and markets, like language, cannot be centrally directed or planned. Or the positive-sum nature of things, which is the engine of our prosperity: the idea that every transaction is a win-win game, and that for one person to win, another does not have to lose. Or, indeed, respect for individual rights and free speech. One understands abstract concepts by reading about them, understanding them, applying them to the real world. Modi is not known to be a reader, and this is not his fault. Given his background, it is a near-miracle that he has made it this far. He wasn’t born into a home with a reading culture, and did not have either the resources or the time when he was young to devote to reading. The only way he could learn about the world, thus, was by experiencing it. There are two lessons here, one for Modi himself and others in his position, and another for everyone. The lesson in this for Modi is a lesson for anyone who rises to such an important position, even if he is the smartest person in the world. That lesson is to have humility about the bounds of your knowledge, and to surround yourself with experts who can advise you well. Be driven by values and not confidence in your own knowledge. Gather intellectual giants around you, and stand on their shoulders. Modi did not do this in the case of demonetisation, which he carried out against the advice of every expert he consulted. We all know the damage it caused to the economy. The other learning from this is for all of us. How do we make sense of the world? By connecting dots. An ankhon-dekhi approach will get us very few dots, and our view of the world will be blurred and incomplete. The best way to gather more dots is reading. The more we read, the better we understand the world, and the better the decisions we take. When we can experience a thousand lives through books, why restrict ourselves to one? A good man with noble intentions can make bad decisions with horrible consequences. The only way to hedge against this is by staying humble and reading more. So when you finish reading this piece, think of an unread book that you’d like to read today – and read it! The India Uncut Blog © 2010 Amit Varma. All rights reserved. Follow me on Twitter. Full Article
ni Knowledge Booster Training Bytes - Writing Physical Verification Language Rules By community.cadence.com Published On :: Wed, 03 Jul 2024 08:56:00 GMT Have you ever wanted to write a DRC rule deck to check for space or width constraints on polygons? Or have you wondered how the multiple lines of an LVS rule deck extract and conduct a comparison between the schematic and layout? Maybe you've been curious about the role of rule deck writers in creating high-quality designs ready for tape-out. If any of these questions interest you, there is good news: the latest version (v23.1) of the Physical Verification Rules Writer (PVLRW) course is designed to teach you rule deck writing. This free 16-hour online course includes audio and labs designed to make your learning experience comfortable and flexible. Whether you are new to the concept or an experienced CAD/PDK engineer, the course is structured to enhance your rule deck writing skills. The PVLRW course covers six core modules: Layer Processing, DRC Rules, Layout Extraction, ERC and LVS Rules, Schematic Netlisting, and Coloring Rules. There are also three optional appendix sections. Each module explains relevant rules with syntax, concepts, graphics, examples, and case studies. This course is based on tool versions PEGASUS231 and Virtuoso Studio IC231. Pegasus Input and Output Pegasus is a cloud-ready physical verification signoff solution that enables engineers to support faster delivery of advanced-node integrated circuits (ICs) to market. Pegasus requires input data in the form of layout geometry, schematic netlists, and rules that direct the tool operation. The rules fall into two categories: those that describe the fabrication process and those that control the job-specific operation. Pegasus provides log and report files, netlists, databases, and error databases as output. Overview of Pegasus Rule File The rule decks written in Physical Verification Language (PVL) work for the Cadence PV signoff tools Pegasus and PVS (Physical Verification System). The PVL rules are placed in a file that gets selected in a run from the GUI or the command line, as the user directs. PVL rules may be on separate lines within the file and can also be contained in named rule blocks. Each line of code starts with a PVL rule that uses prefix type notation. It consists of a keyword followed by options, input layer or variable names, and output layer or variable names. A rule block has the format of the keyword rule, followed by a rule name you wish to give it, followed by an opening curly brace. You enter the rules you wish to perform, followed by a closing curly brace on the last separate line. Sample Rule deck with individual lines of code and rule blocks. DRC Rules The first step in a typical Pegasus flow is a Design Rule Check (DRC), which verifies that layout geometries conform to the minimum width, spacing, and other fabrication process rules required by an IC foundry. Each foundry specifies its own process-dependent rules that must be met by the layout design. There are three types of DRC rules: layer definition rules, layer derivation rules, and DRC design check rules. Layer definition rules identify the layers contained in the input layout database, and layer derivation rules derive additional layers from the original input layers, allowing the tool to test the design against specific foundry requirements using the design check rules. A sample DRC Rule deck A layout view displaying the DRC violations LVS Rules The Pegasus Layout Versus Schematic (LVS) tool compares the layout netlist with the schematic netlist to check for discrepancies. There are two essential LVS rule sets: LVS extraction rules and comparison rules. LVS extraction rules help extract drawn devices and connectivity information from the input layout geometry data and outputs into a layout netlist. The LVS extraction rule set also includes the layer definition, derivation, extraction, connectivity, and net listing rules. LVS comparison rules are associated with comparing the extracted layout netlist to a schematic netlist. A sample LVS Rule deck. TCL, Macros, and Conditional commands Tcl is supported and used in various Pegasus functionalities, such as Pegasus rule files and Pegasus configurator. Macros are functional templates that are defined once and can be used multiple times in a rule file. Conditional Commands are used to process or skip specific commands in the rule file. Do You Have Access to the Cadence Support Portal? If not, follow the steps below to create your account. On the Cadence Support portal, select Register Now and provide the requested information on the Registration page. You will need an email address and host ID to sign up. If you need help with registration, contact support@cadence.com. To stay up to date with the latest news and information about Cadence training and webinars, subscribe to the Cadence Training emails. If you have questions about courses, schedules, online, public, or live onsite training, reach out to us at Cadence Training. For any questions, general feedback, or future blog topic suggestions, please leave a comment. Related Resources Product Manuals Cadence Pegasus Developers Guide Rapid Adoption Kits Running Pegasus DRC/LVS/FILL in Batch Mode Training Byte Videos What Is the Run Command File? How to Run PVS-Pegasus Jobs in GUI and Batch modes? PVS DRC Run From - Setup Rules What Is PVS/Pegasus Layer Viewer? PVL Coloring Ruledecks with Docolor and Stitchcolor PLV Commands: dfm_property with Primary & Secondary Layer PVS Quantus QRC Overview Online Courses Pegasus Verification System PVS (Physical Verification System) Virtuoso Layout Design Basics About Knowledge Booster Training Bytes Knowledge Booster Training Bytes is an online journal that relays information about Cadence Training videos, online courses, and upcoming webinars in the Learning section of the Cadence Learning and Support portal. This blog category brings you direct links to these videos, courses, and other related material on a regular basis. Subscribe to receive email notifications about our latest Custom IC Design blog posts. Full Article Virtuoso Studio Routing Layout Suite Cadence training training bytes Circuit Design Cadence Education Services Custom IC Design online training
ni Start Your Engines: The Innovation Behind Universal Connect Modules (UCM) By community.cadence.com Published On :: Fri, 02 Aug 2024 08:10:00 GMT Read this blog to know more about the innovation behind Universal Connect Modules (UCM).(read more) Full Article SystemVerilog Start Your Engines Spectre AMS Designer Verilog-AMS Mixed-Signal mixed-signal verification
ni How to magnify a board on a film view By community.cadence.com Published On :: Thu, 16 Dec 2021 17:36:00 GMT I have a small board that is not readable even though the document is 11' x 17'. Is there a way I could expand/magnify the board along with the components on them to make them legible? I have created a new film and is displaying the bottom and top side of the board but the board is too small and the components are not legible. Perhaps there is a way to upscale it or expand it?Please note I have other stuff in the document that I am not showing , notes and other things, and I am trying to make just the boards look bigger in some way.I do have a PDF image of the same file where the board appears to be MUCH bigger and is fully legible and I am trying to match that. Thank you all. Full Article
ni Verilog-A: Can I ignore WARNING (VACOMP-1047) By community.cadence.com Published On :: Thu, 31 Oct 2024 22:26:29 GMT I need to include Verilog-A files which live outside the Cadence ecosystem (i.e., they are not in veriloga views but rather are just text files) into a veriloga view. These external modules are not compatible with OA (parametized port widths) so I can't put them into cellviews and hook them together using schematics. Example: I have a cellview "test" which has a symbol and veriloga view. I have three "externaI" modules mod1 (inside an external file mod1.va), mod2 (inside an external file mod2.va), and mod3 (inside an external file mod3.va). I instantiate one instance of each module in "module test". The three modules have some parametized ports which are interconnected by parameterized signals p1 and p2. These two signals are strictly local to the module. At the bottom of the module I use "`include mod1.va", "`mod2.va", etc. When I check and save test->veriloga it checks all the included modules as well as the "test" module. However, I get a warning: Warning from spectre during AHDL compile. WARNING (VACOMP-1047): The Verilog-A file contains more than one module definition. ADE can process only one module per Verilog-A file. Put only one module in each Verilog-A file so that ADE can identify pin names, directions, and hierarchy within each separate module. Is this just a SUGGESTION that I can safely ignore, or are my included modules going to be ignored? Full Article
ni Xcelium/Simvision/xrun running very slow (waiting for SimVision/Verisium Debug to connect...) By community.cadence.com Published On :: Fri, 01 Nov 2024 10:44:24 GMT Hello,I would like to use the simulation software xrun/simvision that comes with XCELIUM. We are currently using classroom licenses and want to disable all ip addresses on the student pcs except the license server ip. We want to make sure that students cannot copy confidential data from the Cadence tools.Problem:When I launch the xrun simulation while all ip addresses are blocked, it starts but the performance is very slow. The GUI starts after 5 minutes and the simulation is ready after 10 minutes. The interesting thing is that when I enable all blocked ip addresses, everything works at a reasonable speed. Terminal Output (execution without internet connection): xrun -gui design.vhd waiting for SimVision/Verisium Debug to connect...Is there a way to run the simulation tools without an Internet connection? Or can you give me the ip addresses that are used by the simulation tools so that I can enable only those specific ips?Regards,Max Full Article
ni Force virtuoso (Layout XL) to NOT create warning markers in design By community.cadence.com Published On :: Sat, 09 Nov 2024 08:54:31 GMT Hi I have a rather strange question - is there a way to tell layout XL to NOT place the error/warning markers on a design when I open a cell? I do a lot of my layout by using arrays from placed instances and create mosaics that completely ignore the metadata that Layout XL uses with its bindings with schematic (and instances get deleted etc. but I do like using it to generate all my pins etc.) and it's just really annoying when I open a design that I know is LVS clean and since the connectivity metadata is all screwed up (because I did not use it to actually complete the layout) I have a design that's just blinking at me at every gate, source and drain. I typically delete them at the high level heirarchically but the second I go in and modify something and come back up it places all of them again. I know that if I flatten all the p cells it goes away but sometimes it's nice to have that piece of metadata but that's about it. Is there a way to "break" the features of XL like this? I realize what a weird question this is but it's becoming more of an issue since we moved to IC 23 from IC 6 where there is no longer a layout L that I can use free from these annoyances that can't use any of the connectivity metadata. Thanks Chris Full Article
ni Macro for multiple-value when definitions By community.cadence.com Published On :: Wed, 31 Oct 2007 08:23:28 GMT The two macros below introduce new syntax for adding definitions to more than one 'when' determinant value at the same time. The first macro overloads 'extend' keyword and the second is the equivalent for 'when' keyword.A use example:extend [HUGE, BIG] packet { // definitions that pertain to these subtypes};The above code would be expanded in the following (naive) way:extend HUGE packet { // definitions that pertain to these subtypes };extend BIG packet { // definitions that pertain to these subtypes }; The macros code:define 'statement> "extend ['name>,...] 'name> ({;...})" as computed { for each in 'names> do { result = appendf("%sextend %s %s %s;",result,it,'name>,); }; result = appendf("{%s}",result); // required only for versions 6.1.1 or earlier};define 'struct_member> "when ['name>,...] 'name> ({;...})" as computed { for each in 'names> do { result = appendf("%swhen %s %s %s;",result,it,'name>,); }; result = appendf("{%s}",result); // required only for versions 6.1.1 or earlier};Originally posted in cdnusers.org by matanvax Full Article
ni vr_ad register definition utility By community.cadence.com Published On :: Tue, 13 Jan 2009 06:55:41 GMT Hi All.I put together a small Perl script to generate vr_ad register definitions from SPIRIT (IP-XACT) XML.If you've got not idea what IP-XACT is, have a look here www.spiritconsortium.org/, then start pestering your design manager to use it :-)The script can filter out registers and override R/W access types if needed.An example XML file is included with the package so that you can play with it, and there's a detailed README.txt as well.Here's an example of the generated e code:// Automatically generated from xdmac.xml// DO NOT EDIT, or your changes may be lost<'import vr_ad/e/vr_ad_top;// Component = XDMAC// memoryMap = xdmacextend vr_ad_map_kind : [XDMAC];// addressBlock = dma_ethextend vr_ad_reg_file_kind : [DMA_ETH];extend DMA_ETH vr_ad_reg_file { keep size == 20; keep addressing_width_in_bytes == 4;};// Register = command// Reset = 0x00reg_def COMMAND DMA_ETH 0x0 { // Field resv3 = command[31:29] reg_fld resv3 : uint(bits:3) : R : 0 : cov ; // Field transfer_size = command[28:19] reg_fld transfer_size : uint(bits:10) : RW : 0 : cov ; // Field dma_transfer_target = command[18:14] reg_fld dma_transfer_target : uint(bits:5) : RW : 0 : cov ; // Field resv2 = command[13:10] reg_fld resv2 : uint(bits:4) : R : 0 : cov ; // Field transmit_receive = command[9:9] reg_fld transmit_receive : uint(bits:1) : RW : 0 : cov ; // Field resv1 = command[8:5] reg_fld resv1 : uint(bits:4) : R : 0 : cov ; // Field dest_address_enable = command[4:4] reg_fld dest_address_enable : uint(bits:1) : RW : 0 : cov ; // Field source_address_enable = command[3:3] reg_fld source_address_enable : uint(bits:1) : RW : 0 : cov ; // Field word_size = command[2:0] reg_fld word_size : uint(bits:3) : R : 0 : cov ;};// Register = queue_exec// Reset = 0x00reg_def QUEUE_EXEC DMA_ETH 0x10 { // Field resv = queue_exec[31:1] reg_fld resv : uint(bits:31) : R : 0 : cov ; // Field exec = queue_exec[0:0] reg_fld exec : uint(bits:1) : RW : 0 : cov ;};extend XDMAC vr_ad_map { dma_eth : DMA_ETH vr_ad_reg_file; post_generate() is also { add_with_offset(0x00, dma_eth); dma_eth.reset(); };}'> Any comments, please feed them back to me so I can enhance the script. Note that this forum forces me to post a .zip file rather than .tgz, please be careful to unpack the file under Linux, not Windows, else the DOS linefeeds will corrupt the Perl and XML files. Steve Full Article
ni X-FAB's Innovative Communication and Automotive Designs: Powered by Cadence EMX Planar 3D Solver By community.cadence.com Published On :: Sun, 31 Jul 2022 17:01:00 GMT Using the EMX solver, X-FAB design engineers can efficiently develop next-generation RF technology for the latest communication standards (including sub-6GHz 5G, mmWave, UWB, etc.), which are enabling technologies for communications and electric vehicle (EV) wireless applications. (read more) Full Article EM Analysis electromagnetics in-design analysis reference design Electromagnetic analysis PDK foundry
ni Overcoming Thermal Challenges in Modern Electronic Design By community.cadence.com Published On :: Tue, 09 Aug 2022 14:24:00 GMT Melika Roshandell talks with David Malinak in a Microwaves & RF QuickChat video about the thermal challenges in today’s complex electronic designs and how the Celsius solver uniquely addresses them.(read more) Full Article 3D-IC in-design analysis Thermal Integrity Thermal Analysis electronic systems
ni Japan Aviation Electronics is First to Support IP Protected Models for Cadence Clarity 3D Solver By community.cadence.com Published On :: Tue, 16 Aug 2022 04:08:00 GMT With the latest release (Sigrity and Systems Analysis 2022.1 HF2) of Clarity 3D Solver, support for encrypted component models is now available. With this functionality, vendors that supply 3D components, such as connectors, can now merge their...(read more) Full Article connector EM Clarity 3D Solver Systems Analysis JAE
ni BoardSurfers: Training Insights: User Interface Enhancements for Allegro Layout Editors By community.cadence.com Published On :: Fri, 19 Aug 2022 12:03:00 GMT If you have seen any images or demonstrations of the 17.4-2019 release, the GUI may look ...(read more) Full Article digital badge 17.4 BoardSurfers 17.4-2019 Training Insights Allegro PCB Editor online training Allegro
ni Modern Thermal Analysis Overcomes Complex Electronic Design Issues By community.cadence.com Published On :: Tue, 13 Sep 2022 14:53:00 GMT By combining finite element analysis with computational fluid dynamics, designers can perform complete thermal system analysis using a single tool.(read more) Full Article in-design analysis Thermal Analysis electronic cooling
ni BoardSurfers: Training Insights: What’s New in the Allegro PCB Editor Basic Techniques Course By community.cadence.com Published On :: Tue, 20 Sep 2022 14:32:00 GMT The Allegro PCB Editor Basic Techniques course provides all the essential training required to start working with Allegro® PCB Editor. The course covers all the design tasks, including padstack and symbol creation, logic import, constraints setup...(read more) Full Article digital badge 17.4 BoardSurfers symbol editor 3D Canvas 17.4-2019 PCB design Training Insights Allegro PCB Editor online training Allegro
ni Harmonic Balance (HB) Large-Signal S-Parameter (LSSP) simulation By community.cadence.com Published On :: Fri, 08 Mar 2024 12:07:53 GMT Dear all, Hi! I'm trying to do a Harmonic Balance (HB) Large-Signal S-Parameter (LSSP) simulation to figure out the input impedance of a nonlinear circuit. Through this simulation, what I want to know is the large-signal S11 only (not S12, S21 and S22). So, I have simulated with only single port (PORT0) at input, but LSSP simulation is terminated and output log shows following text. " Analysis `hb' was terminated prematurely due to an error " The LSSP simulation does not proceed without second port. Should I use floating second port (which is not necessary for my circuit) to succeed the LSSP simulation? Does the LSSP simulation really need two ports? Below figure is my HB LSSP simulation setup. Additionally, Periodic S-Parameter (PSP) simulation using HB is succeeded with only single port. What is the difference between PSP and LSSP simulations? Full Article
ni Knowledge Booster Training Bytes - What Is a Parameterized Cell and What Are the Advantages By community.cadence.com Published On :: Wed, 06 Jul 2022 15:31:00 GMT Che(read more) Full Article Relative Object Design PCells Virtuoso Video Diary Custom IC Design Virtuoso Layout Suite SKILL
ni Knowledge Booster Training Bytes - Virtuoso Visualization and Analysis XL By community.cadence.com Published On :: Wed, 10 Aug 2022 07:13:00 GMT This blog describes how to efficiently use Virtuoso Visualization and Analysis XL.(read more) Full Article blended blended training ADE Explorer Virtuoso Visualization and Analysis XL learning training knowledge resource kit Cadence training digital badges training bytes Virtuoso Cadence certified Virtuoso Video Diary Cadence Learning and Support portal Custom IC Design online training Custom IC ADE Assembler
ni Knowledge Booster Training Bytes - Virtuoso Pin-To-Trunk Routing By community.cadence.com Published On :: Wed, 28 Sep 2022 08:40:00 GMT This blog helps in demonstrating the use of Pin to trunk routing style which helps in enhancing the layout experience.(read more) Full Article custom/analog Virtuoso Space-based Router VSR cadence Routing Automated Device-Level Placement and Routing Rapid Adoption Kit analog training Layout Suite Cadence training digital badges Layout Virtuoso cadenceblogs ICADVM20.1 Cadence Education Services Custom IC Design online training RAKs Virtuoso Layout Suite Custom IC IC6.1.8 Virtuoso Layout Suite XL
ni updating a dymanic shape By community.cadence.com Published On :: Thu, 31 Oct 2024 08:12:06 GMT hello is there a way to update one dynamic shape instead of updating all dynamic shapes? i have over 6000 dynamic shapes on my design and it takes over 10 mins to update them all. i just would like to update only one dynamic shape sometimes to find out if placing vias and lines in a shape has enough space or not. regards masa Full Article
ni Training Bytes: Explore Cadence DFT Synthesis Flow with Bytes By community.cadence.com Published On :: Wed, 24 Jul 2024 19:53:00 GMT Training Bytes are not just short technical videos; they are particularly designed to provide comprehensive support in understanding and learning various concepts and methodologies. These comprehensive yet small Training Bytes can be created to show various concepts and processes in a shorter pane of five to ten minutes, for example, running DFT synthesis, scanning insertion, inserting advanced testability features, test point insertion, debugging DFT violations, etc. In this blog, we will show you the DFT Synthesis Flow with Cadence's Genus Synthesis Solution using small Training Bytes available on the Cadence Learning and Support Portal. To explore these training bytes more, log on to support.cadence.com and select the learning section to choose the training videos, as shown below. DFT Synthesis Flow with Genus Synthesis Solution First, we will understand the Synthesis Flow with DFT in the Genus Synthesis Solution: Understanding a Script File that Used to Run the Synthesis Flow With DFT Here, we will show you "How to run the Test Synthesis Flow to Insert Scan Chains and Improve the Testability of a Design" in the Genus Synthesis Solution: Running Test Synthesis Flow to Insert Scan Chains And Improve the Testability of a Design in the Genus Synthesis Solution Let's check the flops marked with the dft_mapped attribute for scan mapping in Genus Synthesis Solution: How to Check Flops Marked With dft_mapped Attribute For Scan Mapping in Genus Synthesis Solution? How to Find Non-Scan Flops of a Design in Genus? (Video) Once the flops are mapped to scan flip flops and the scan chain inserted, we will see how to handle the flops marked with the dft_dont_scan attribute for scan mapping in Genus Synthesis Solution. How to Handle the Flops Marked With the dft_dont_scan Attribute For Scan Mapping in Genus Synthesis Solution? Here, we will see how to fix DFT Violations using the command fix_dft_violations: Fixing DFT Violations (Video) Once the design has been synthesized, let's explore the DFT design hierarchy in Genus Stylus CUI: Exploring DFT Design Hierarchy in Genus Stylus CUI (Video) Understand why sequential elements are not mapped to a scan flop: Why Are Sequential Elements Not Mapped to a Scan Flop? Explore hierarchical scan synthesis in Genus Stylus Common UI: Understanding Hierarchical Scan Synthesis in Genus Stylus Common UI. (Video) To understand how to resolve different warnings and errors (for example, DFT-415, DFT-512, DFT-304, etc.) in Genus Synthesis Solution, here are some videos you can refer to: How to Resolve Warning: DFT-415 (Video) How to Resolve Error: DFT-407 (Video) How to Resolve Error: DFT-404 (Video) DFT-510 Warning During Mapping (Video) How to Resolve Warning: DFT-512 (Video) How to Resolve Warning: DFT-511 (Video) How to Resolve Warning: DFT-304 (Video) How to Resolve Warning: DFT-302 (Video) How to Resolve Error: DFT-515 (Video) How to Resolve Error: DFT-500 (Video) Here, we will see how we can generate SDC constraints for DFT constructs for many scan insertion techniques, such as FULLSCAN, OPCG, Boundary Scan, PMBIST, XOR Compression, SmartScan Compression, LBIST, and IEEE 1500: How to Generate SDC Constraints for DFT Constructs in Genus Synthesis Solution? (Video) Explore advanced testability features that can be inserted in Genus Synthesis Solution, such as Boundary Scan, Programmable Memory built-in Self-Test Logic (PMBIST), Compression Logic, Masking, and On-Product Clock Generation Logic (OPCG): Advanced Testability Features (Video) To understand What the IEEE 1500 Wrapper and its Insertion Flow in Genus Synthesis Solution, follow the bytes: What Is IEEE 1500 Wrapper? (Video) IEEE 1500 Wrapper Insertion Flow in Genus Synthesis Solution (Video) Understand the On-product Clock Generation (OPCG) insertion flow in Genus Synthesis Solution Stylus CUI with this byte: Understanding On Product Clock Generator (OPCG) Insertion in Genus Stylus CUI (Video) To debug DFT violations, you can use DFT Analyzer from Genus GUI and explore its features here: Debugging Using GUI: DFT Analyzer (Video) Exploring DFT Analyzer View of Genus Synthesis Solution GUI (Video) To understand What is Shadow Logic, How to Insert Test Points, How to do Testability Analysis Using LBIST, and How to Deterministic Fault Analysis in Genus, follow this article: What is Shadow Logic To insert the Boundary Scan Logic in and control Boundary Optimization in Genus Synthesis Solution, refer to these small bytes: How to Insert Boundary Scan Logic in Genus? Video) Controlling Boundary Optimization in Genus Synthesis Solution Stylus CUI (Video) Compression techniques are used during scan insertion to reduce the test data volume and test application time (TAT) while retaining the test coverage. To understand what compression and the compression techniques are, watch this article: What is Compression Technique During Scan Insertion? (Video) Interested to know what "Unified Compression" is? To get the concept, you can watch this small demo: What Is Unified Compression? (Video) To Explore More, Register for Online Training Log on to Cadence.com with your registered Cadence ID and password. Select Learning from the menu > Online Courses. Search for "Test Synthesis with Genus Stylus Common UI" using the search bar. Select the course and click "Enroll." Full Article DFT Modus DFT IEEE 1500 Genus Synthesis Solution
ni Online Course: Start Learning About 3D-IC Technology By community.cadence.com Published On :: Mon, 29 Jul 2024 21:50:00 GMT Designing 3D-ICs with integrity involves a commitment to ethical practices, reliability, and sustainability throughout the design and manufacturing process. This includes using environmentally friendly materials, ensuring robust and efficient performance, and incorporating thorough testing and verification. By prioritizing transparency, responsibility, and long-term sustainability, designers can create advanced integrated circuits that meet high standards of quality and social responsibility. Start Learning Now! Start with our Designing with Integrity 3D-IC online course, which introduces Integrity 3D-IC, the industry's first comprehensive, high-capacity 3D-IC platform that integrates 3D design planning, implementation, and system analysis in a single, unified environment. You will be guided through the following activities involved in designing a silicon interposer with a digital ASIC and HBM2 interface in a 2.5D configuration. You will design the interposer from scratch in the new Integrity System Planner and the Integrity 3D-IC implementation environment. You will examine the ASIC and interposer designs using some of the new 3D-IC multi-die design features. You will route the interposer using some of the new advanced routing capabilities with NanoRoute —and this in only two days! WATCH VIDEO Interested? Get an overview in less than two minutes. Are you primarily interested in selected snippets instead? Then, take our Training Bytes, which—like the online training course—are available to Cadence customers for free 24/7 in the Cadence Learning and Support portal. Cadence Training Services now offers free Digital Badges for all popular online training courses. These badges indicate proficiency in a certain technology or skill and give you a way to validate your expertise to managers and potential employers. You can add the digital badge to your email signature or any social media channels, such as Facebook or LinkedIn, to highlight your expertise. To find out more, see the blog post. It’s the Digital Era; Why Not Showcase Your Brand Through a Digital Badge! Related Resources 3D-IC Introduction (Video) 3D-IC Development Process With Challenges. (Video) Demo: How to Create the Interposer Contact Pads and Die Connectivity Using the Integrity 3D-IC? (Video) Demo: How To Pull The Interposer Design From System Planner Into Integrity 3D-IC Layout? (Video) Demo: Routing The Interposer Design Using The Integrity 3D-IC Layout_Part 1 (Video) Demo: Routing The Interposer Design Using The Integrity 3D-IC Layout_Part 2 (Video) Demo: How To Create C4 Bumps For NC Connections And Generating C4 Dummy Cover Bumps In Integrity 3D-IC? (Video) Related Blogs How Cadence Is Expanding Innovation for 3D-IC Design Training Bytes: They May Be Shorter, But the Impact Is Stronger! Training Insights — 3D-IC: What Is Silicon Interposer? System Analysis Knowledge Bytes: What’s New in the Clarity 3D Solver Course System Analysis Knowledge Bytes - Early System-Level Thermal Analysis 3D-IC: The Future of Integrated Electronics Is the Future of Electronics Itself Related Trainings OrbitIO System Planner Allegro Package Designer Plus Full Article Integrity 3D-IC Platform 3D-IC 2.5DiC Digital Implementation Innovus moore's law 3D-IC Technology heterogenous integration Allegro system planner
ni Technical Webinar: A Beginner’s Guide to RTL-to-GDSII Front-End Flow By community.cadence.com Published On :: Wed, 21 Aug 2024 06:23:00 GMT In this training webinar, we explore the concepts of RTL design, design verification, and coverage analysis while unveiling the exciting world of front-end design flow. We will guide you through the essential steps in creating integrated circuits, the building blocks of modern electronics. We’ll break down the process into manageable stages, from defining the chip’s functionality to its physical realization. We’ll investigate the front-end part of the RTL-to-GDSII flow—from specification to functional verification and design coverage—and explore: Key concepts of specifying chip behavior and performance How to translate ideas into a digital blueprint and transform that into a design How to ensure your design is free of errors This webinar provides practical knowledge, making it your gateway to understanding the magic behind RTL-to-GDSII front-end design flow. When Is the Webinar? Date and Time Wednesday, September 18, 202407:00 PDT San Jose / 10:00 EDT New York / 15:00 BST London / 16:00 CEST Munich / 17:00 IDT Jerusalem / 19:30 IST Bangalore / 22:00 CST Beijing REGISTER To register for this webinar, sign in with your Cadence Support account (email ID and password) to log in to the Learning and Support System. Then select Enroll to register for the session. Once registered, you’ll receive a confirmation email containing all login details. If you don’t have a Cadence Support account, go to Cadence User Registration and complete the requested information. Or visit Registration Help. For inquiries or issues with registration, reach out to eur_training@cadence.com.For inquiries or issues with registration, reach out to eur_training@cadence.com. To view our complete training offerings, visit the Cadence Training website. Want to share this and other great Cadence learning opportunities with someone else? Tell them to subscribe. Want to Learn More? This link gives you more information about the related training course and a link to enroll: Cadence RTL-to-GDSII Flow Training The course includes slides with audio and downloadable laboratory exercises designed to emphasize the topics covered in the lecture. There is also a Digital Badge available for the training. The online class is free for all Cadence customers with a Cadence Learning and Support Portal account. For instructor-led training sessions "Live" or "Blended" please contact Cadence Training. Also, take this opportunity to register for the free Online Trainings related to this webinar topic. Cadence RTL-to-GDSII Flow Xcelium Simulator Verilog Language and Application Xcelium Integrated Coverage Related Training Bytes How to Run the Synthesis Without DFT? How to Run the Synthesis Flow with DFT? (Video) Related Blogs Did You Miss the RTL-to-GDSII Webinar? No Worries, the Recording Is Available! Training Insights – Why Is RTL Translated into Gate-Level Netlist? Training Bytes: They May Be Shorter, But the Impact Is Stronger! Cadence Support - A Round-the-Clock Problem Solver, Webinar Recording Available! Full Article COS IMC IC DFT Integrated Metrics Center IP chip design webinars verification engineers Xcelium Logic Simulator training Mixed-Signal Logic Design coverage analysis RTL-to-GDSII FrontEnd training bytes system verilog Freshly Graduate Cadence RTL-to-GDSII Flow Technical webinar RTL2GDSII RTL design online training HLS VHDL vManager Verisuim
ni Training Insights: Cadence Certus Closure Solution Badge Now Available! By community.cadence.com Published On :: Fri, 18 Oct 2024 17:22:00 GMT This blog informs about the new badge certification available for Cadence Certus Closure Solution, that grants credit to your proficiency.(read more) Full Article digital badge Cadence Certus Cadence Online Support Cadence training certus cadence learning and support
ni Egypt planning minister strives for sustainable economic growth By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Mon, 20 Jan 2020 09:50:08 +0000 Egypt is well on the way to establishing a diversified economy, claims Hala El Saeed, minister of planning and economic development Full Article
ni Tanzanian tourism boom undermined by investor concerns By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Tue, 25 Feb 2020 13:27:06 +0000 Tanzania's economy is booming and its tourism sector is thriving. However, concerns about the president's strong-arm tactics and delays in the completion of key infrastructure projects are threatening this growth. Full Article
ni View from Asia: imagining the worst By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Thu, 16 Apr 2020 13:03:58 +0100 What if the coronavirus lasts until the end of the year? Lawrence Yeo has a bleak forecast. Full Article
ni FDI screening moves to the fore as protectionism takes hold By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Tue, 25 Feb 2020 13:26:58 +0000 Authorities in the US, the EU and across the developed world are stepping up efforts to scrutinise foreign investment on the grounds of both national security and tech sovereignty. Full Article
ni Finance minister seeks to keep Serbia in FDI spotlight By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Thu, 13 Feb 2020 16:40:58 +0000 Serbia’s minister of finance, Siniša Mali, explains why the country is one of Europe's economic stars, and how its FDI levels have risen on the back of this. Full Article
ni Serbia's automotive companies drive inward investment By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Thu, 13 Feb 2020 16:41:16 +0000 Foreign investment into Serbia is growing at a healthy pace thanks to its attractive automotive manufacturing industry and highly regarded free zones. Full Article
ni Mayor outlines Warsaw's winning formula By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Tue, 25 Feb 2020 13:12:30 +0000 Warsaw already offers a skilled workforce and has improved its infrastructure – now it must focus on climate change and reducing congestion, mayor Rafał Trzaskowski tells fDi. Full Article
ni Nokia Bell Labs looks to make maximum impact from minimum sites By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Tue, 25 Feb 2020 13:22:08 +0000 Marcus Weldon, chief technology officer of Nokia and president of its research arm Nokia Bell Labs, talks about what guided the decision to set up a new global R&D centre and the company’s strategy for driving innovation Full Article
ni France ups investment screening By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Mon, 02 Mar 2020 12:07:34 +0000 Investors in France will face greater scrutiny under extended legislation. Full Article
ni Auckland’s tourism draws major investment opportunities By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Thu, 07 Nov 2019 13:31:23 +0000 Steve Armitage, general manager of destination at Auckland Tourism, Events and Economic Development explains why the New Zealand city’s international profile is growing so fast. Full Article
ni Afghanistan seeks pioneers to reap rewards of its risks By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Thu, 12 Dec 2019 12:01:16 +0000 Despite recurrent challenges, Afghanistan’s business environment is improving. Now the authorities are working to persuade investors the rewards are worth the risk through a series of economic and legal reforms. Full Article
ni Industry minister seeks to put Afghanistan back in business By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Thu, 12 Dec 2019 12:01:05 +0000 Ajmal Ahmady, Afghanistan's minister of industries and commerce, outlines government efforts to make the country more conducive to business. Full Article
ni Pakistan’s UK high commissioner hails land of opportunity By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Mon, 16 Dec 2019 16:56:05 +0000 Mohammad Nafees Zakaria, Pakistan’s UK high commissioner, talks about his country’s potential for foreign investors. Full Article
ni Zonamerica looks beyond Latin America for expansion opportunities By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Mon, 16 Dec 2019 10:05:06 +0000 Uruguay-based Zonamerica has successfully expanded into Colombia and China, and is now looking to export its model to other parts of Asia and Africa. Full Article
ni Jamaican tourism minister seeks to explode myths By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Thu, 12 Dec 2019 16:15:01 +0000 Edmund Bartlett, Jamaica’s minister of tourism, talks about key investment opportunities and the need for better international reporting when natural disasters strike. Full Article
ni Will FDI screening become the new norm? By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Tue, 25 Feb 2020 13:27:24 +0000 The trend towards the vetting of foreign investment, especially projects that involve advanced technology and national data or pose potential security threats, is on the rise. David Gabathuler and Matthew T West give a trans-Atlantic perspective. Full Article
ni Bolivian minister hails tourism increase By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Wed, 04 Mar 2020 11:35:56 +0000 Marcelo Eduardo Arze García, Bolivia’s vice minister of tourism, tells Sebastian Shehadi why tourists are turning their attention to one of South America's less explored destinations. Full Article
ni 2025 Hyundai Ioniq 5 By www.thecarconnection.com Published On :: Mon, 11 Nov 2024 12:02:00 -0500 What kind of car is the 2025 Hyundai Ioniq 5? What does it compare to? Hyundai’s Ioniq 5 is a small hatchback EV with value baseline pricing. Rivals include the VW ID.4, Tesla Model Y, and Ford Mustang Mach-E. Is the 2025 Hyundai Ioniq 5 a good car? Yes. This Hyundai is one of the better options in its segment, with peppy performance... Full Article
ni Alpine F1 team to use Mercedes power units from 2026 By www.motorauthority.com Published On :: Tue, 12 Nov 2024 10:30:00 -0500 Alpine F1 team will make switch to Mercedes-Benz AMG power units in 2026 Agreement lasts until at least 2030 Former supplier Renault is ending F1 power unit program to focus on EV technology The Alpine Formula 1 team on Tuesday announced plans to switch to power units and gearboxes from Mercedes-Benz AMG starting in 2026, when F1 is due to... Full Article Renault F1 Alpine
ni Lucid Air cop car tested by California Highway Patrol By www.greencarreports.com Published On :: Tue, 12 Nov 2024 11:56:00 -0500 Lucid Motors is pitching its Air electric sedan as a potential police cruiser. On Sunday the automaker posted photos of an Air decked out in police equipment on X (formerly Twitter). Lucid said the car had recently participated in California Highway Patrol testing, but did not provide any other details. We've reached out to Lucid with questions... Full Article
ni FDI into Lithuania increases in 2018 By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Wed, 24 Apr 2019 15:40:59 +0100 Lithuania is enjoying a boost in FDI project and jobs, with the capital, Vilnius, getting the lion's share. Full Article
ni Dubai top for Middle East office openings By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Wed, 30 Oct 2019 13:09:36 +0000 Dubai outstrips other Middle East cities as top destination for office openings. Full Article
ni Cistri helps shape the cities and communities of Asia By www.austrade.gov.au Published On :: Fri, 17 Jul 2020 07:38:00 GMT Australian urban planning and design and economics consultancy Cistri is using its evidence-based insights to help Asian developers design and plan urban communities that enhance quality of life. Full Article Success stories
ni Canadian miner capitalises on golden opportunity in Victoria By www.austrade.gov.au Published On :: Mon, 14 Sep 2020 00:24:00 GMT Australia’s proud mining history, the quality of its workers and high working standards were instrumental in bringing Canadian mining and exploration company Kirkland Lake Gold to the Victorian gold fields. Full Article Success stories
ni Australia welcomes new animation studio By www.austrade.gov.au Published On :: Fri, 26 Nov 2021 00:19:00 GMT American entertainment studio Bento Box Entertainment has partnered with Australia’s Princess Pictures to form a new animation studio Full Article Investor Updates
ni Israel’s IAI enters into JV with Australian mining services company By www.austrade.gov.au Published On :: Fri, 26 Nov 2021 00:19:00 GMT Israel Aerospace Industries (IAI) has entered into a joint venture with Australia’s Bis to launch Auto-mate, a new company that will provide autonomous systems to the mining industry. Full Article Investor Updates