v Register Classes for SystemVerilog OVM By community.cadence.com Published On :: Tue, 09 Sep 2008 23:20:24 GMT Hi, I am uploading a register class, which can be used for modeling hardware registers. I am uploading the source code and examples on how to run it. I also have a user guide which has all the APIs listed and explained. The user guide is ARV.pdf in the attached tar file. I have named the class ARV, which stands for Architect's Register View. It has got very good randomization and coverage features. Users have told me that its better than RAL. You can download it from http://verisilica.info/ARV.php. There is a limit of 750KB in this cadence website. The ARV file is 4MB. That is why, I am uploading it at this site. I have a big pdf documentation and a doxygen documentation there. That is the reason for the bigger file size. The password to open the ZIP file is ovm_arv. I hope, everyone will use these classes. Please contact me for any help. Regards ANil Full Article
v vr_ad register definition utility By community.cadence.com Published On :: Tue, 13 Jan 2009 06:55:41 GMT Hi All.I put together a small Perl script to generate vr_ad register definitions from SPIRIT (IP-XACT) XML.If you've got not idea what IP-XACT is, have a look here www.spiritconsortium.org/, then start pestering your design manager to use it :-)The script can filter out registers and override R/W access types if needed.An example XML file is included with the package so that you can play with it, and there's a detailed README.txt as well.Here's an example of the generated e code:// Automatically generated from xdmac.xml// DO NOT EDIT, or your changes may be lost<'import vr_ad/e/vr_ad_top;// Component = XDMAC// memoryMap = xdmacextend vr_ad_map_kind : [XDMAC];// addressBlock = dma_ethextend vr_ad_reg_file_kind : [DMA_ETH];extend DMA_ETH vr_ad_reg_file { keep size == 20; keep addressing_width_in_bytes == 4;};// Register = command// Reset = 0x00reg_def COMMAND DMA_ETH 0x0 { // Field resv3 = command[31:29] reg_fld resv3 : uint(bits:3) : R : 0 : cov ; // Field transfer_size = command[28:19] reg_fld transfer_size : uint(bits:10) : RW : 0 : cov ; // Field dma_transfer_target = command[18:14] reg_fld dma_transfer_target : uint(bits:5) : RW : 0 : cov ; // Field resv2 = command[13:10] reg_fld resv2 : uint(bits:4) : R : 0 : cov ; // Field transmit_receive = command[9:9] reg_fld transmit_receive : uint(bits:1) : RW : 0 : cov ; // Field resv1 = command[8:5] reg_fld resv1 : uint(bits:4) : R : 0 : cov ; // Field dest_address_enable = command[4:4] reg_fld dest_address_enable : uint(bits:1) : RW : 0 : cov ; // Field source_address_enable = command[3:3] reg_fld source_address_enable : uint(bits:1) : RW : 0 : cov ; // Field word_size = command[2:0] reg_fld word_size : uint(bits:3) : R : 0 : cov ;};// Register = queue_exec// Reset = 0x00reg_def QUEUE_EXEC DMA_ETH 0x10 { // Field resv = queue_exec[31:1] reg_fld resv : uint(bits:31) : R : 0 : cov ; // Field exec = queue_exec[0:0] reg_fld exec : uint(bits:1) : RW : 0 : cov ;};extend XDMAC vr_ad_map { dma_eth : DMA_ETH vr_ad_reg_file; post_generate() is also { add_with_offset(0x00, dma_eth); dma_eth.reset(); };}'> Any comments, please feed them back to me so I can enhance the script. Note that this forum forces me to post a .zip file rather than .tgz, please be careful to unpack the file under Linux, not Windows, else the DOS linefeeds will corrupt the Perl and XML files. Steve Full Article
v ctags for e code, Vim compatible By community.cadence.com Published On :: Mon, 13 Jul 2009 17:56:07 GMT In a nutshell, tags allows you to navigate through program code distributed over multiple files effectively. e.g if you see a function call or a struct in e-code and want to "jump" to the definition (which may be in a different file) then you just hit CTRL+] in Vim! Pressing CTRL+t will take you back where you came from. Check out http://vim.wikia.com/wiki/Browsing_programs_with_tags#Using_tags if you want to learn more about how to use tags with Vim.This utility can generate tags file for your e files. It can either walk through e import order, a directory recursively or all directories on SPECMAN_PATH recursively! The tags file will have tags for struct, unit, types, events, defines, fields, variables, etc.For help and some examples, just run ctags4e -help. Full Article
v Einstein's puzzle (System Verilog) solved by Incisive92 By community.cadence.com Published On :: Fri, 20 Nov 2009 17:54:07 GMT Hello All,Following is the einstein's puzzle solved by cadence Incisive92 (solved in less than 3 seconds -> FAST!!!!!!) Thanks,Vinay HonnavaraVerification engineer at Keyu Techvinayh@keyutech.com // Author: Vinay Honnavara// Einstein formulated this problem : he said that only 2% in the world can solve this problem// There are 5 different parameters each with 5 different attributes// The following is the problem// -> In a street there are five houses, painted five different colors (RED, GREEN, BLUE, YELLOW, WHITE)// -> In each house lives a person of different nationality (GERMAN, NORWEGIAN, SWEDEN, DANISH, BRITAIN)// -> These five homeowners each drink a different kind of beverage (TEA, WATER, MILK, COFFEE, BEER),// -> smoke different brand of cigar (DUNHILL, PRINCE, BLUE MASTER, BLENDS, PALL MALL)// -> and keep a different pet (BIRD, CATS, DOGS, FISH, HORSES)///////////////////////////////////////////////////////////////////////////////////////// *************** Einstein's riddle is: Who owns the fish? ***************************////////////////////////////////////////////////////////////////////////////////////////*Necessary clues:1. The British man lives in a red house.2. The Swedish man keeps dogs as pets.3. The Danish man drinks tea.4. The Green house is next to, and on the left of the White house.5. The owner of the Green house drinks coffee.6. The person who smokes Pall Mall rears birds.7. The owner of the Yellow house smokes Dunhill.8. The man living in the center house drinks milk.9. The Norwegian lives in the first house.10. The man who smokes Blends lives next to the one who keeps cats.11. The man who keeps horses lives next to the man who smokes Dunhill.12. The man who smokes Blue Master drinks beer.13. The German smokes Prince.14. The Norwegian lives next to the blue house.15. The Blends smoker lives next to the one who drinks water.*/typedef enum bit [2:0] {red, green, blue, yellow, white} house_color_type;typedef enum bit [2:0] {german, norwegian, brit, dane, swede} nationality_type;typedef enum bit [2:0] {coffee, milk, water, beer, tea} beverage_type;typedef enum bit [2:0] {dunhill, prince, blue_master, blends, pall_mall} cigar_type;typedef enum bit [2:0] {birds, cats, fish, dogs, horses} pet_type;class Einstein_problem; rand house_color_type house_color[5]; rand nationality_type nationality[5]; rand beverage_type beverage[5]; rand cigar_type cigar[5]; rand pet_type pet[5]; rand int arr[5]; constraint einstein_riddle_solver { foreach (house_color[i]) foreach (house_color[j]) if (i != j) house_color[i] != house_color[j]; foreach (nationality[i]) foreach (nationality[j]) if (i != j) nationality[i] != nationality[j]; foreach (beverage[i]) foreach (beverage[j]) if (i != j) beverage[i] != beverage[j]; foreach (cigar[i]) foreach (cigar[j]) if (i != j) cigar[i] != cigar[j]; foreach (pet[i]) foreach (pet[j]) if (i != j) pet[i] != pet[j]; //1) The British man lives in a red house. foreach(nationality[i]) (nationality[i] == brit) -> (house_color[i] == red); //2) The Swedish man keeps dogs as pets. foreach(nationality[i]) (nationality[i] == swede) -> (pet[i] == dogs); //3) The Danish man drinks tea. foreach(nationality[i]) (nationality[i] == dane) -> (beverage[i] == tea); //4) The Green house is next to, and on the left of the White house. foreach(house_color[i]) if (i<4) (house_color[i] == green) -> (house_color[i+1] == white); //5) The owner of the Green house drinks coffee. foreach(house_color[i]) (house_color[i] == green) -> (beverage[i] == coffee); //6) The person who smokes Pall Mall rears birds. foreach(cigar[i]) (cigar[i] == pall_mall) -> (pet[i] == birds); //7) The owner of the Yellow house smokes Dunhill. foreach(house_color[i]) (house_color[i] == yellow) -> (cigar[i] == dunhill); //8) The man living in the center house drinks milk. foreach(house_color[i]) if (i==2) // i==2 implies the center house (0,1,2,3,4) 2 is the center beverage[i] == milk; //9) The Norwegian lives in the first house. foreach(nationality[i]) if (i==0) // i==0 is the first house nationality[i] == norwegian; //10) The man who smokes Blends lives next to the one who keeps cats. foreach(cigar[i]) if (i==0) // if the man who smokes blends lives in the first house then the person with cats will be in the second (cigar[i] == blends) -> (pet[i+1] == cats); foreach(cigar[i]) if (i>0 && i<4) // if the man is not at the ends he can be on either side (cigar[i] == blends) -> (pet[i-1] == cats) || (pet[i+1] == cats); foreach(cigar[i]) if (i==4) // if the man is at the last (cigar[i] == blends) -> (pet[i-1] == cats); foreach(cigar[i]) if (i==4) (pet[i] == cats) -> (cigar[i-1] == blends); //11) The man who keeps horses lives next to the man who smokes Dunhill. foreach(pet[i]) if (i==0) // similar to the last case (pet[i] == horses) -> (cigar[i+1] == dunhill); foreach(pet[i]) if (i>0 & i<4) (pet[i] == horses) -> (cigar[i-1] == dunhill) || (cigar[i+1] == dunhill); foreach(pet[i]) if (i==4) (pet[i] == horses) -> (cigar[i-1] == dunhill); //12) The man who smokes Blue Master drinks beer. foreach(cigar[i]) (cigar[i] == blue_master) -> (beverage[i] == beer); //13) The German smokes Prince. foreach(nationality[i]) (nationality[i] == german) -> (cigar[i] == prince); //14) The Norwegian lives next to the blue house. foreach(nationality[i]) if (i==0) (nationality[i] == norwegian) -> (house_color[i+1] == blue); foreach(nationality[i]) if (i>0 & i<4) (nationality[i] == norwegian) -> (house_color[i-1] == blue) || (house_color[i+1] == blue); foreach(nationality[i]) if (i==4) (nationality[i] == norwegian) -> (house_color[i-1] == blue); //15) The Blends smoker lives next to the one who drinks water. foreach(cigar[i]) if (i==0) (cigar[i] == blends) -> (beverage[i+1] == water); foreach(cigar[i]) if (i>0 & i<4) (cigar[i] == blends) -> (beverage[i-1] == water) || (beverage[i+1] == water); foreach(cigar[i]) if (i==4) (cigar[i] == blends) -> (beverage[i-1] == water); } // end of the constraint block // display all the attributes task display ; foreach (house_color[i]) begin $display("HOUSE : %s",house_color[i].name()); end foreach (nationality[i]) begin $display("NATIONALITY : %s",nationality[i].name()); end foreach (beverage[i]) begin $display("BEVERAGE : %s",beverage[i].name()); end foreach (cigar[i]) begin $display("CIGAR: %s",cigar[i].name()); end foreach (pet[i]) begin $display("PET : %s",pet[i].name()); end foreach (pet[i]) if (pet[i] == fish) $display("THE ANSWER TO THE RIDDLE : The %s has %s ", nationality[i].name(), pet[i].name()); endtask // end display endclassprogram main ; initial begin Einstein_problem ep; ep = new(); if(!ep.randomize()) $display("ERROR"); ep.display(); endendprogram // end of main Full Article
v Simvision - Signal loading By community.cadence.com Published On :: Fri, 04 May 2012 04:59:11 GMT Hi all Good day.Can anyone tell me whether it is possible to view the signals once it is modified from its previous values without closing the simvision window. If possible kindly let me know the command for it(Linux). Is it possible to view the schematic for the code written?? Kindly instruct me. Thanks all.S K S Full Article
v Hold violation at post P&R simulation By community.cadence.com Published On :: Mon, 08 Oct 2012 04:28:27 GMT Hello, I am working in a digital design. The functional, post synthesis and post P&R without IO pads are all working fine, i.e., functionally and with clean timing reports "no setup/hold violations". I just added the IO pads to the same design, I had to change the timing constraints a bit for the synthesis but I have a clean design at SOC Encounter, i.e., clean DRC and clean timing reports "no setup/hold violations". However, when I perform simulation using the exported net-list from SOC Encounter together with SDF exported from the same tool, I got a lot of hold violations. Consequently, the design is not funcitioning. Why and how I can overcome or trobleshoot this issue?In waiting for your feedback and comments.Regards. Full Article
v Creating cover items for sparse values/queue or define in specman By community.cadence.com Published On :: Fri, 12 Jul 2019 17:51:31 GMT Hello, I have a question I want to create a cover that consists a sparse values, pre-computed (a list or define) for example l = {1; 4; 7; 9; 2048; 700} I'd like to cover that data a (uint(bits:16)) had those values, Any suggestion on how to achieve this, I'd prefer to stay away from macros, and avoid to write a lot of code struct inst { data :uint(bits:16); opcode :uint(bits:16); !valid_data : list of uint(bits:16) = {0; 12; 10; 700; 890; 293;}; event data_e; event opcode_e; cover data_e is { item data using radix = HEX, ranges = { //I dont want to write all of this range([0], "My range1"); range([10], "My range2"); //... many values in between range([700], "My rangen"); }; item opcode; cross data, opcode; }; post_generate() is also { emit data_e; };}; Full Article
v How to transfer trained an artificial neural network to Verilog-A By community.cadence.com Published On :: Mon, 17 Oct 2022 11:58:59 GMT Hi all, I've trained a device model with the approach of an artificial neural network, and it shows well fit. May I know how to transfer the trained model to Verilog-A, so that, we can use this model to do circuit simulation? And I've searched for some lectures that provide the Verilog-A code in the appendix, but I'm freshman in the field of Verilog-A, could anyone tell me each statement? such as real hlayer-w[0:(NI*NNHL)-1 Full Article
v X-FAB's Innovative Communication and Automotive Designs: Powered by Cadence EMX Planar 3D Solver By community.cadence.com Published On :: Sun, 31 Jul 2022 17:01:00 GMT Using the EMX solver, X-FAB design engineers can efficiently develop next-generation RF technology for the latest communication standards (including sub-6GHz 5G, mmWave, UWB, etc.), which are enabling technologies for communications and electric vehicle (EV) wireless applications. (read more) Full Article EM Analysis electromagnetics in-design analysis reference design Electromagnetic analysis PDK foundry
v Overcoming Thermal Challenges in Modern Electronic Design By community.cadence.com Published On :: Tue, 09 Aug 2022 14:24:00 GMT Melika Roshandell talks with David Malinak in a Microwaves & RF QuickChat video about the thermal challenges in today’s complex electronic designs and how the Celsius solver uniquely addresses them.(read more) Full Article 3D-IC in-design analysis Thermal Integrity Thermal Analysis electronic systems
v Japan Aviation Electronics is First to Support IP Protected Models for Cadence Clarity 3D Solver By community.cadence.com Published On :: Tue, 16 Aug 2022 04:08:00 GMT With the latest release (Sigrity and Systems Analysis 2022.1 HF2) of Clarity 3D Solver, support for encrypted component models is now available. With this functionality, vendors that supply 3D components, such as connectors, can now merge their...(read more) Full Article connector EM Clarity 3D Solver Systems Analysis JAE
v Sigrity and Systems Analysis 2022.1 HF2 Release Now Available By community.cadence.com Published On :: Tue, 23 Aug 2022 17:45:00 GMT The Sigrity and Systems Analysis (SIGRITY/SYSANLS) 2022.1 HF2 release is now available for download at Cadence Downloads. For the list of CCRs fixed in the 2022.1 HF2 release, see the README.txt file in the installation hierarchy.(read more) Full Article Sigrity and Systems Analysis Celsius Thermal Solver Sigrity XcitePI Sigrity PowerSI Sigrity Broadband SPICE Sigrity XtractIM Sigrity PowerDC EM Clarity 3D Solver T2B Clarity 3D Workbench JAE
v BoardSurfers: Managing Silkscreen Data Using Allegro 3D Canvas By community.cadence.com Published On :: Wed, 24 Aug 2022 13:30:00 GMT The silkscreen layer plays a crucial role in the assembly, repair, and testing of a PCB. You can add a variety of information to this layer, such as the location of the components, polarity, component orientation, on-off switches, LEDs, and testpoint...(read more) Full Article 17.4 BoardSurfers 3D Canvas 17.4-2019 Allegro PCB Editor silkscreen Allegro
v Quickchat Video Interview: Introducing Cadence Optimality and OnCloud for Systems Analysis and Signoff By community.cadence.com Published On :: Tue, 30 Aug 2022 15:05:00 GMT Microwaves & RF's David Maliniak interviews Sherry Hess of Cadence about recently announced products of Optimality and OnCloud.(read more) Full Article SaaS in-design analysis optimization multiphysics
v Modern Thermal Analysis Overcomes Complex Electronic Design Issues By community.cadence.com Published On :: Tue, 13 Sep 2022 14:53:00 GMT By combining finite element analysis with computational fluid dynamics, designers can perform complete thermal system analysis using a single tool.(read more) Full Article in-design analysis Thermal Analysis electronic cooling
v EMX - 3D view + 3D view unavailable By community.cadence.com Published On :: Wed, 07 Feb 2024 19:14:47 GMT Hi! I'm using EMX with 2 design kits. In one works fine. With another, I do not see the mesh or current view. The menu item is not shown. This happens only with one DK. With the other one I'm able to run Paraview without any issue. Besides, I would like to know if there is any way to see the 3D view without mesh and current. Just a nice 3D view. I've also tried to install the latest version (EMX2023_2) but nothing changes. Full Article
v Error with launching Python Script Via AWRDE VBA Script By community.cadence.com Published On :: Sun, 11 Feb 2024 03:23:21 GMT Hello Team,I am currently following this AWR script posted on them, to run a python script directly from inside AWR using VBA script. Launching Python from AWRDE VBA Script - AWR Scripts - AWR Knowledgebase Following all the basic steps with no changes to the code. I have Vscode and python-3.12.2 installed in my system. This is the error I am getting while running this code. Thank you for your help Best Regards SID Full Article
v VAR("") does not work within some expressions By community.cadence.com Published On :: Mon, 22 Apr 2024 20:47:33 GMT Hi, My Virtuoso and Spectre Version: ICADVM20.1-64b.NYISR30.2 I have an expression where the EvalType is "sweeps". Here is the expression (I also attached the snapshot): (peakToPeak(leafValue(swapSweep(delay(?wf1 clip((VT("/clk0") - VT("/clk180")) (VAR("mt_stop") - (4.0 / VAR("datarate"))) VAR("mt_stop")) ?value1 0 ?edge1 "rising" ?nth1 1 ?td1 0 ?tol1 nil ?wf2 clip((VT("/tx_padp") - VT("/tx_padn")) (VAR("mt_stop") - (4.0 / VAR("datarate"))) VAR("mt_stop")) ?value2 0 ?edge2 "rising" ?nth2 1 ?tol2 nil ?td2 nil ?stop nil ?multiple nil) "VDD_FIXED_NOISE") "VREGLN_cmode" 0.85 "VREGDRV_novn" 0.4 "datarate" 1.658e+10) ?overall t) / 10.0) What this expression does is that it compares the delay between the output data with respect to a reference clock. I then get this information for two conditions (VDD_FIXED_NOISE = 0 or 10mV) to get the effect of the supply-induced jitter. In the expression, I need to give the value of each parameter in different modes to distinguish them from each other. Now I want to sweep the base supply values and see the supply variation effects. For example, I want to change VREGLN_cmode from 0.85 to 0.81 and see how my supply-induced jitter changes. For that, the hard way is to copy the expression and change that value accordingly (e.g. "VREGLN_cmode" 0.81). I'm looking for an easier way to use a variable in the expression. Something like VAR("VREGLN_Sweep"). But I see it doesn't work in my expression and it gives an eVal error. I tested this before in other expressions (not sweep type) and it always worked. I have only one test and these variables are all Design Variables and not Global variables.I want to know what mistake am I doing here and is there a way to make this work. Sorry that if I could not explain better my inquiry. Thank you. Full Article
v EVM and constellation of mixer By community.cadence.com Published On :: Wed, 05 Jun 2024 15:03:31 GMT Hello,I am trying to design an RF mixer for a TX.Assume my input IF signal is at 1 GHz, my LO at 2 GHz and I want my RF at 3 GHz, and assume that the mixer fully works after testing it with HB.How to simply set the envelope analysis to check the EVM ?I read through the documentation but I couldn't get it (I couldn't fully understand the settings of the source, probe, analysis.)Which source and probe to use? I want custom modulation with custom bandwidth and center frequency.Is there some examples for the ENVLP analysis ?I am using IC23.1 and spectre 20.1.354. Full Article
v nport device S-parameter data file relative path By community.cadence.com Published On :: Fri, 21 Jun 2024 09:34:54 GMT Hi, In our design team, we're looking for a strategy to make all cell views self-contained. We are struggling to do so when nport devices are involved. The nport file requires a full path, whereas what we need is a relative path to the current path of the cell in which we're using the nport. I have browsed through the forums & cadence support pages, but could not find a solution. 1) There is a proposal from Andrew to add the file directory in ADE option "Simulation Files." :https://community.cadence.com/cadence_technology_forums/f/rf-design/27167/s-parameter-datafile-path-in-nport . This, however, is not suitable, because the cell is not self contained. 2) The new cadence version off DataSource "cellView" in nport options: This however is not suitable for us due to two reasons: i- Somehow we don't get this option in the nport cell (perhaps some custom modification from our PDK team) ii- Even if we had this option, it requires to select the library, which again makes it unsuitable: We often copy design libraries for derivative products using "Hierarchical Copy" feature. And when the library is copied, the nport will still be pointing to the old library. Thus, it is still not self-contained. In principle, it should not be difficult (technically) to point to a text file relative to the cell directory (f.ex we can make a folder under the same cell with name "sparFiles" & place all spar files under this folder), however it does not seem to be possible. Could you perhaps recommend us a work-around to achieve our goal: making the cells which contain nport devices self-contained so that when we copy a cell, we do not have to update all the nport file destinations ? Thanks in advance. My Cadence Version: IC23.1-64b.ISR4.51 My Spectre version: 23.1.0.362.isr5 Full Article
v unbound variable freq By community.cadence.com Published On :: Sun, 30 Jun 2024 07:07:05 GMT I want to plot the inductance through formula L1=(imag(Z(1,1))/(2*pi*freq)), but the system tells me that the freq is unbound variable? What can I do? Full Article
v PSS Shooting - High Q crystal oscillator - Simulator by mistake detects a frequency divider By community.cadence.com Published On :: Wed, 07 Aug 2024 12:58:28 GMT Hi *, I am simulating a 32kHz high Q crystal oscillator with a pulse shaping circuit. I set up a PSS analysis using the Shooting Newton engine. I set a beat frequency of 32k and used the crystal output and ground as reference nodes. After the initial transient the amplitude growth was already pretty much settled such that the shooting iterations could continue the job. My problem is: In 5...10% of my PVT runs the simulator detects a frequency divider in the initial transient simulation. The output log says: Frequency divided by 3 at node <xxx> The Estimated oscillating frequency from Tstab Tran is = 11.0193 kHz . However, the mentioned node is only part of the control logic and is always constant (but it has some ripples and glitches which are all less than 30uV). These glitches spoil my fundamental frequency (11kHz instead of 32kHz). Sometimes the simulator detects a frequency division by 2 or 3 and the mentioned node <xxx> is different depending on PVT - but the node is always a genuine high or low signal inside my control logic. How can I tell the simulator that there is no frequency divider and it should only observe the given node pair in the PSS analysis setup to estimate the fundamental frequency? I have tried the following workarounds but none of them worked reliably: - extended/reduced the initial transient simulation time - decreased accuracy - preset override with Euler integration method for the initial transient to damp glitches - tried different initial conditions - specified various oscillator nodes in the analysis setup form By the way, I am using Spectre X (version 21.1.0.389.ISR8) with CX accuracy. Thanks for your support and best regards Stephan Full Article
v Transient Simulation waveform abnormal By community.cadence.com Published On :: Sat, 02 Nov 2024 14:37:09 GMT Hello Everybody Recently, I want to design a high output Power Amplifier at 2.4GHz using TSMC 1P6M CMOS Bulk Process. I use its nmos_rf_25_6t transistor model to determine the approximate mosfet size I use the most common Common-Source Differential Amplifier topology with neutralizing capacitor to improve its stability and power gain performance Because I want to output large power, the size of mosfet is very large, the gate width is about 2mm, when I perform harmonic balance analysis, everything is alright, the OP1dB is about 28dBm (0.63Watt) But When I perform Transient simulation, the magnitude of voltage and current waveform at the saturation point is too small, for voltgae, Vpeaking is about 50mV, for current, Ipeaking is about 5mA I assume some reasons: the bsim4 model is not complete/ the virtuoso version is wrong (My virtuoso version is IC6.1.7-64b.500.21)/the spectre version is wrong (spectre version is 15.1.0 32bit)/the MMSIM version is wrong/Transient Simulation setting is wrong (the algorithm is select gear2only, but when I select other, like: trap, the results have no difference), the maxstep I set 5ps, minstep I set 2ps to improve simulation speed, I think this step is much smaller than the fundamental period (1/2.4e9≈416ps) I have no idea how to solve this problem, please help me! Thank you very very much! Full Article
v Virtuosity: Reliability Analysis Report-Reliable Results Made Interactive By community.cadence.com Published On :: Thu, 09 Jun 2022 07:47:00 GMT Read through this blog to know more about the new Reliability Report view in Virtuoso ADE Assembler and Virtuoso ADE Explorer.(read more) Full Article SQLite Stress Analysis Analog Design Environment ADE Explorer Reliability Report Virtuoso Analog Design Environment Virtuoso Spectre Virtuosity ISR21 Virtuoso Video Diary ICADVM20.1 SQLite Operator aging ISR26 reliability analysis custom reliability data filter Custom IC IC6.1.8 ADE Assembler
v Virtuoso Meets Maxwell: Getting Your Existing SiP File Into Virtuoso RF Solution By community.cadence.com Published On :: Tue, 21 Jun 2022 13:44:00 GMT I have been involved in the Virtuoso RF Solution for the last four years. Most of the customers I work with have a SiP package already in progress. They often ask "How do I get my SiP design into Virtuoso RF Solution?" I am excited about new functionality in the latest ICADVM20.1 ISR25 release. It is a new GUI under the Tools menu called Enablement. (read more) Full Article SiP Enablement GUI Virtuoso Meets Maxwell Virtuoso RF Solution Virtuoso RF Allegro Package Designer Plus Assisted Export System Design Environment RF design SiP Layout Option Custom IC Design Assisted Flows Assisted Import Allegro
v Knowledge Booster Training Bytes - What Is a Parameterized Cell and What Are the Advantages By community.cadence.com Published On :: Wed, 06 Jul 2022 15:31:00 GMT Che(read more) Full Article Relative Object Design PCells Virtuoso Video Diary Custom IC Design Virtuoso Layout Suite SKILL
v Virtuoso ICADVM20.1 ISR26 and IC6.1.8 ISR26 Now Available By community.cadence.com Published On :: Fri, 08 Jul 2022 13:52:00 GMT The ICADVM20.1 ISR26 and IC6.1.8 ISR26 production releases are now available for download.(read more) Full Article Analog Design Environment Cadence blogs ICADVM18.1 ADE Explorer cadence Virtuoso RF Solution IC Release Announcement blog Virtuoso Visualization and Analysis XL Layout EXL Virtuoso Analog Design Environment IC Release Blog Custom IC Design Custom IC IC6.1.8 ADE Assembler Virtuoso Layout Suite XL
v Spectre Tech Tips: Introducing Spectre X EMIR Voltus-XFi By community.cadence.com Published On :: Fri, 22 Jul 2022 12:25:00 GMT This blog describes the new capabilities in Spectre 21.1 ISR2 through which it provides support to the Voltus-XFi Custom Power Integrity Solution.(read more) Full Article Spectre X EMIR Voltus-Fi-XL Virtuoso Analog Design Environment Spectre X distributed simulation Spectre X Simulator
v Start Your Engines: An Innovative and Efficient Approach to Debug Interface Elements with SimVision MS By community.cadence.com Published On :: Fri, 29 Jul 2022 04:35:00 GMT This blog introduces you to an efficient way to debug interface elements or connect modules in a mixed-signal simulation.(read more) Full Article connect modules mixed signal design interface elements AMS Designer mixed-signal simulation Virtuoso SimVision-MS
v Virtuosity: Custom IC Design Flow/Methodology - Circuit Physical Verification & Parasitic Extraction By community.cadence.com Published On :: Fri, 29 Jul 2022 18:26:00 GMT Read this blog for an overview to the Circuit physical verification and parasitic extraction design stage in the Custom IC Design methodology and the key design steps which can help you achieve this.(read more) Full Article design rule violations Extraction Layout versus schematic Physical Verification System (PVS) Virtuoso Quantus Extraction Solution PVS Custom IC Design parasitics
v Knowledge Booster Training Bytes - Virtuoso Visualization and Analysis XL By community.cadence.com Published On :: Wed, 10 Aug 2022 07:13:00 GMT This blog describes how to efficiently use Virtuoso Visualization and Analysis XL.(read more) Full Article blended blended training ADE Explorer Virtuoso Visualization and Analysis XL learning training knowledge resource kit Cadence training digital badges training bytes Virtuoso Cadence certified Virtuoso Video Diary Cadence Learning and Support portal Custom IC Design online training Custom IC ADE Assembler
v Virtuoso Meets Maxwell: Completing the Virtuoso RF Solution Assisted Flow By community.cadence.com Published On :: Tue, 16 Aug 2022 11:04:00 GMT In my last blog, Getting Your Existing SiP File Into Virtuoso RF, I talked about the new enhancements in ICADVM20.1 ISR25 for Virtuoso RF Solution. At the end of the blog, I told you about the Fully Assisted Roundtrip flow, which includes importing SiP files that are compatible with the Virtuoso RF Solution assisted import flow into the Virtuoso platform. Let's examine how the Fully Assisted Roundtrip flow works in this blog.(read more) Full Article Layout SiP Viltuoso MultiTech Framework Enablement GUI VRF Virtuoso Meets Maxwell Virtuoso RF Solution VMT Allegro Package Designer Plus Assisted Export System Design Environment fully assisted SiP Layout Option ICADVM20.1 Assisted Flows Assisted Import
v Virtuoso ICADVM20.1 ISR27 and IC6.1.8 ISR27 Now Available By community.cadence.com Published On :: Wed, 24 Aug 2022 11:50:00 GMT The ICADVM20.1 ISR27 and IC6.1.8 ISR27 production releases are now available for download.(read more) Full Article Analog Design Environment Cadence blogs ICADVM18.1 ADE Explorer cadence Virtuoso RF Solution IC Release Announcement blog Virtuoso Visualization and Analysis XL Layout EXL Virtuoso Analog Design Environment ICADVM20.1 IC Release Blog Custom IC Design Custom IC IC6.1.8 ADE Assembler Virtuoso Layout Suite XL
v Virtuosity: Synergize with CLE - Work Concurrently Across Geographies By community.cadence.com Published On :: Mon, 29 Aug 2022 12:24:00 GMT Concurrent Layout Editing enables more than one designer to work in a hierarchy at the same time. Check out this blog to know more. (read more) Full Article concurrent layout editing Virtuoso Virtuosity CLE ICADVM20.1 Synergize with CLE
v Virtuosity: Driving Super-efficient Chip Design with Voltus-XFi Custom Power Integrity Solution By community.cadence.com Published On :: Tue, 30 Aug 2022 13:39:00 GMT This blog introduces the new Voltus-XFi Custom Power Integrity Solution, a transistor-level EM-IR tool that enables designers to complete comprehensive analysis and debugging easily and quickly.(read more) Full Article Voltus-XFi EMIR Analysis EMIR Simulation EMIR Extraction Virtuoso Analog Design Environment Custom IC Design
v Knowledge Booster Training Bytes - Virtuoso Pin-To-Trunk Routing By community.cadence.com Published On :: Wed, 28 Sep 2022 08:40:00 GMT This blog helps in demonstrating the use of Pin to trunk routing style which helps in enhancing the layout experience.(read more) Full Article custom/analog Virtuoso Space-based Router VSR cadence Routing Automated Device-Level Placement and Routing Rapid Adoption Kit analog training Layout Suite Cadence training digital badges Layout Virtuoso cadenceblogs ICADVM20.1 Cadence Education Services Custom IC Design online training RAKs Virtuoso Layout Suite Custom IC IC6.1.8 Virtuoso Layout Suite XL
v How to set thru via hole to thru via hole spacing constraint? By community.cadence.com Published On :: Fri, 25 Oct 2024 14:42:45 GMT Is there a way to set a thru via hole to thru via hole spacing constraint? I need the hole to hole spacing, nit pad to pad spacing. I can calculate the spacing using the via pad diameter, but this won't work for multiple via pad sizes. Full Article
v Prevent routing on adjacent layers without affecting pour By community.cadence.com Published On :: Wed, 30 Oct 2024 11:20:19 GMT Hello, I have a sensitive trace on layer 2 and I would like to prevent any routing along or across it on adjacent layers (L1 and L3). My idea was to use a route keepout shape on L1 and L3, however that also removed the ground pour on those layers and I would like to keep the ground pour. Can I get around this somehow or should I use something else than route keepout? Regards, Filip Full Article
v Place replicate update default behaviour By community.cadence.com Published On :: Mon, 04 Nov 2024 07:39:41 GMT The default behaviour of Place replicate update is to select every new net item connected to the replicate module. This leads to an abundant number of clines, vias and shapes being selected, most of which I don't want to add to the replicate group. It is very tedious to unselect all these items and more often than not, I miss one or two items and then end up with a via or cline in a completely different place on the board or outside of the board. Is there a way to change this rather annoying behaviour? I haven't found any way to disable it or to batch deselect everything the tool has decided to add to the replicate group. The question has been asked before, but it didn't get any answers and the thread is now locked. /F Full Article
v How to resolve the impedance issue using the OrCAD X Professional By community.cadence.com Published On :: Sun, 10 Nov 2024 14:59:59 GMT Dear Community, I have created a PCB board and let's say I have found some parts of the PCB board where there are impedance issues, then how to resolve that impedance issue using the OrCAD X Professional. Regards, Rohit Rohan Full Article
v Voltus Voice: Breaking Ground with Voltus InsightAI—Swift Implementation via RAK By community.cadence.com Published On :: Mon, 01 Jul 2024 05:17:00 GMT The blog discusses Voltus InsightAI RAK that is designed to give you an accelerated start on the execution of Voltus InsightAI flow.(read more) Full Article artificial intelligence Silicon Signoff and Verification Voltus IC Power Integrity Solution Innovus Implementation System Generative AI Power Integrity Voltus InsightAI Rapid Adoption Kits
v Overcoming Mixed-Signal Design Challenges with Virtuoso Digital Implementation By community.cadence.com Published On :: Fri, 19 Jul 2024 22:44:00 GMT The world of electronics design thrives on efficient tools that bridge the gap between concept and silicon. Virtuoso Digital Implementation is a powerful ally for mixed-signal designs, which integrate both analog and digital components. This blog post will examine Virtuoso Digital Implementation's capabilities and explore how it can streamline your mixed-signal design workflow. Virtuoso Digital Implementation in a Nutshell Virtuoso Digital Implementation is a license package within the Cadence Virtuoso Design Platform. It offers a streamlined RTL-to-GDSII flow to implement smaller digital blocks within a mixed-signal design environment. Here's what makes Virtuoso Digital Implementation stand out: Focus on Small Digital Blocks: Optimized for digital blocks with an instance count of up to 50,000 (expandable to 150,000 with specific configurations), Virtuoso Digital Implementation is ideal for integrating digital logic into your analog-centric design. Leveraging Industry Leaders: Virtuoso Digital Implementation utilizes cut-down versions of the renowned Cadence Genus Synthesis Solution and Innovus Implementation System under the hood. This ensures you get access to proven technologies for logic optimization and place-and-route. Seamless Integration with the Virtuoso Environment: Virtuoso Digital Implementation's key advantage is its tight integration with the Virtuoso Layout Suite. You can launch the synthesis and place-and-route tools directly from the Virtuoso environment, eliminating the need to switch between platforms. Benefits of Using Virtuoso Digital Implementation By incorporating Virtuoso Digital Implementation into your mixed-signal design flow, you can get several benefits: Simplified Workflow: Virtuoso Digital Implementation offers a centralized environment for both digital block implementation and layout editing within the Virtuoso environment. This reduces context switching and streamlines the design process. Faster Time-to-Market: Virtuoso Digital Implementation's streamlined workflow can significantly reduce design turnaround times, allowing you to get your product to market quicker. Improved Design Quality: Leveraging industry-leading synthesis and place-and-route engines from Cadence ensures high-quality digital block implementation within your mixed-signal design. Who Should Consider Virtuoso Digital Implementation? Virtuoso Digital Implementation is a valuable tool for anyone working on mixed-signal designs with smaller digital blocks. It's particularly well-suited for: Analog IC designers who need to integrate digital logic into their designs. Circuit design teams working on mixed-signal applications like data converters, power management ICs, and RF transceivers. Virtuoso Digital Implementation provides a compelling solution for designers working on mixed-signal projects. Its streamlined workflow, tight integration with the Virtuoso design platform, and access to proven digital design tools can significantly improve design efficiency and time-to-market. Virtuoso Digital Implementation is worth considering if you're looking to optimize your mixed-signal design flow. I am here to help and guide you on how to learn more about Virtuoso Digital Implementation flow. Welcome to Virtuoso Digital Implementation, an online course recently released. This course teaches implementing digital blocks using Cadence tools based on the Virtuoso Digital Implementation flow. Also, you can download a lab database after the lecture and get hands-on experience in each stage. Want to Enroll in this Course? We organize this Virtuoso Digital Implementation training for you as a "Blended" or "Live" training. Please reach out to Cadence Training for further information. Register for the Online Training with the following steps: Log on to cadence.com with your registered Cadence ID and password. Select Learning from the menu > Online Courses. Search for Virtuoso Digital Implementation using the search bar. Select the course and click Enroll. And don't forget to obtain your Digital Badge after completing the training! Related Resources Online Courses Cadence RTL-to-GDSII Flow v6.0 Virtuoso Digital Implementation Training Training Byte Videos How Do You Run Placement Optimization in the Innovus Implementation System? How to Run the Synthesis Without DFT? How to Run the Synthesis Flow with DFT? Creating Power Rings, Power Stripes, and Power Rails in the Innovus Implementation System How to Run Power Analysis and Analyze the Results in Innovus? Happy Learning! Full Article Virtuoso Schematic Editor Low Power Silicon Signoff and Verification Virtuoso Digital Implementation RTL-to-GDSII Cadence training Virtuoso symbol Virtuoso Layout Suite Mixed Signal Designers
v All EVs Need the Midas Functional Safety Platform By community.cadence.com Published On :: Tue, 30 Jul 2024 05:00:00 GMT A more appropriate title for this blog could be “All Vehicles with ADAS Need the Midas Functional Safety Platform”. EVs tend to have advanced driving assistance systems (ADAS) because they’re newer, but not all vehicles with ADAS are EVs! Certifying Advanced Driver Assistance Systems (ADAS) is a multifaceted process involving rigorous testing, validation, and regulatory compliance to ensure safety and reliability. As ADAS technologies become increasingly sophisticated, the certification process is evolving to meet these challenges. The ISO26262 standard provides the requirements to be met to attain safety certification for digital designs. One of the key aspects of ADAS certification is functional safety. This includes: Ensuring the system operates as intended under all conditions, including failures. Adherence to standards like ISO26262. Rigorous testing to identify potential hazards and mitigate risks. The Midas Safety Platform provides early-phase exploration of functional safety architectures and leverages native chip design data to perform accurate safety analysis efficiently. The platform is a unified solution available across Cadence products, and with its modular architecture, it supports both embedded and standalone usage with the Cadence flow. After extracting the design information, an output Midas database file contains the isolated DUT and provides the design components and their fault tolerances to various tools in the flow. Conformal can easily verify design transformations that include necessary components like TMR for safety. In these videos, we explore how to create reports for both Transient and Permanent faults. Creating Detailed FMEDA in Midas (Video) Creating Architectural FMEDA in Midas (Video) Also, read this blog post for additional motivation: What Is Zonal Architecture? And Why Is it Upending the Automotive Supply Chain? What Next? Join the Midas Safety Platform Introduction and the Functional Safety Implementation and Verification with Midas trainings and learn more about: Setting up and defining the USF file Using the Midas Safety Platform to create functional safety reports, and Midas integration with the Genus Synthesis Solution, Innovus Implementation System, and Conformal Equivalence Checker tools to implement functional safety The online class is free for all Cadence customers with a Cadence Learning and Support Portal account. If you don’t have a Cadence Support account, go to Registration Help or Register Now and complete the requested information. For instructor-led training sessions "Live" or "Blended" please contact Cadence Training. Please don't forget to obtain your Digital Badge after completing the training. Add your free digital badge to your email signature or any social media and networking platform to show your qualities and build trust, making you and your projects even more successful. If you want to make sure you are always the first to know about anything new in training, then you can use the SUBSCRIBE button on the landing page to sign up for our regular training newsletters. Full Article conformal Genus functional safety midas Digital Implementation Innovus
v The Best Way to Learn – Cadence Cerebrus AI-Driven Design Implementation By community.cadence.com Published On :: Tue, 17 Sep 2024 04:49:00 GMT The Cadence Cerebrus Intelligent Chip Explorer is a revolutionary, machine learning-driven, automated approach to chip design flow optimization. Block engineers specify the design goals, and Cadence Cerebrus will intelligently optimize the Cadence digital full flow to meet the power, performance, and area (PPA) goals in a completely automated way. Use Cerebrus Apps to optimize some aspects of the design as well. Running a full RTL to GDSII flow, Cadence Cerebrus has a lot of possibilities and combinations of different tool settings to explore. Using the knowledge from previous runs, combined with on-the-fly analysis within the flow, Cadence Cerebrus can assess many settings combinations and fine-tune the flow accordingly in a very efficient manner. As technology advances, projects become bigger and way more complex than before. The ability of a single engineer to run simultaneously a large number of blocks in a traditional way is limited. Cadence Cerebrus allows a single engineer to work more efficiently and implement more blocks, while maintaining the same or even better PPA, using compute power. Being such a revolutionary tool, integrating Cerebrus into your existing flow is surprisingly simple as it can wrap around any existing flow scripts. Please join me in this course, to learn about the features and basics of Cadence Cerebrus Intelligent Chip Explorer. We’ll walk through the tool setting stage, explain what is a primitive and how it effects our run, talk about the cost function and the run goals. We’ll understand the concept of scenarios, learn how to analyze the results of the different runs, and compare them. In addition, we’ll talk about basic debug rules and methods to analyze failures. Sounds Interesting? Please join our “live” one-day Cadence Cerebrus Intelligent Chip Explorer Training @Cadence Feldkirchen planned for October 9th, 2024! For more details and registration, please contact Training Germany. If you would like to have an instructor-led training session in another region please contact your local training department. Become Cadence Certified Cadence Training Services offers a digital badge for this training course. This badge indicates proficiency in a certain technology or skill and gives you a way to validate your expertise to managers and potential employers. You can highlight your expertise by adding this digital badge to your email signature or any social media platform, such as Facebook or LinkedIn. Related Training Innovus Block Implementation with Stylus Common UI Related Training Bytes Cerebrus Primitives (Video) How to Reuse Cerebrus (Video) Cerebrus - Verifying Distribution Script (Video) How to distribute Cerebrus Scenarios (Video) Cerebrus Web Interface Monitor and Control (Video) How to Setup Cerebrus for a Successful Run (Video) Flow Wrapping: The Cadence Cerebrus Intelligent Chip Explorer Must Have (Webinar) (Video) Cerebrus Cost Functions (Video) Related Blogs Training Insights: Cadence Cerebrus Webinar Recording Now Available! Keep Up with the Revolution—Cadence Cerebrus Training New to Equivalence Checking? Restart from the Basic Concepts Training Insights - Free Online Courses on Cadence Learning and Support Portal Training Insights – Important Facts You Should know About Our Cadence Learning and Support Portal Full Article digital badge live training cerebrus Cadence training cadence learning and support
v Training Insights: Cadence Certus Closure Solution Badge Now Available! By community.cadence.com Published On :: Fri, 18 Oct 2024 17:22:00 GMT This blog informs about the new badge certification available for Cadence Certus Closure Solution, that grants credit to your proficiency.(read more) Full Article digital badge Cadence Certus Cadence Online Support Cadence training certus cadence learning and support
v A Magical World - The Incredible Clock Tree Wizard to Augment Productivity and QoR! By community.cadence.com Published On :: Mon, 11 Nov 2024 13:00:00 GMT In the era of Artificial Intelligence, front-end designers need a magical key to empower them with technology that enables fully optimized RTL for implementation handoff and provides RTL designers with capabilities to accurately assist in the implementation convergence process. The magic lies with Cadence Joules RTL Design Studio, an expert system that leverages generative AI for RTL design exploration, triages possible causes of violations, and additional insights that empower designers to understand how to address issues in their RTL, leading to smarter and more efficient chip design. This unlocks the immense debugging and design analysis capabilities from a single, unified cockpit, enabling fully optimized RTL design prior to implementation handoff for the front-end designers and addresses all aspects of physical design by adding visibility into power, performance, area, and congestion (PPAC) One critical component is the clock tree, which distributes the clock signal to all sequential elements, such as flip-flops and latches. Designers need the right techniques in the beginning stage to optimize the clock tree structure, ensuring that their designs meet the required timing specifications, reduce power consumption, maintain signal integrity, and increase reliability. This incredible feature is part of the Joules RTL Design Studio. How do you efficiently explore the clock tree structure to optimize the results using Joules RTL Design Studio? Joules Studio allows viewing a simplified version of the clock structure. This feature is primarily designed to help display clock frequency scaling through clock dividers. You can customize colors, symbols, and design elements using an input file. Additionally, you can cross-probe the custom clock tree structure to other widgets and the main schematic view in Joules Studio. Moreover, with the clock tree preference features of the ideal clock tree wizard in Joules Studio GUI, you can highlight clock path, generate clocks and master clock, set case analysis, fold and unfold instances, undo and redo, set sense and disable timing, color preference, etc. You can binge on these features through the channel videos posted on the support portal, which covers the Joules RTL Design Studio GUI Clock Tree Structure and Features of Ideal Clock Tree Wizard. You can refer to the videos on Cadence Online Support (Cadence login required). Video Links: Viewing Custom Clock Tree Structure in Joules RTL Design Studio (Video) Exploring Clock Tree Preference Widget of Ideal Clock Tree Wizard in Joules RTL Design Studio (Video) Want to learn more? Explore the one-stop solution Joules RTL Design Studio Product Page on Cadence Online Support (Cadence login required). Related Resources Related Training Bytes: Understanding Prototype Design Flow in Joules RTL Design Studio (Video) Running Prototype Implementation Flow in Joules RTL Design Studio (Video) Understanding Analyze Timing By Hierarchy In Joules RTL Design Studio (Video) Related Courses: Joules Power Calculator Want to Enroll in this Course? We organize this training for you as a "Blended" or "Live" training. Please reach out to Cadence Training for further information. Please don't forget to obtain your Digital Badge after completing the training. Related Blogs: Let's Discover the Secret to Enhance Design's PPAC in a Single Cockpit! - Digital Design - Cadence Blogs - Cadence Community Joules RTL Design Studio: Accelerating Fully Optimized RTL - Digital Design - Cadence Blogs - Cadence Community Let's Replay the Process of Power Estimation with the Power of 'x'! - Digital Design - Cadence Blogs - Cadence Community Is Design Power Estimation Lowering Your Power? Delegate and Relax! - Digital Design - Cadence Blogs - Cadence Community Full Article performance debug training congestion PPAC training bytes clock tree synthesis area RTL design power
v Russia most diversified commodity economy for the fourth year By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Thu, 15 Aug 2019 12:00:45 +0100 Russia remains fDi’s most diversified commodity economy, while second ranked Brazil has displaced Ukraine into third place. Cathy Mullan reports. Full Article
v View from the Middle East & Africa: small steps can have a big impact on tourism By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Thu, 12 Dec 2019 12:01:06 +0000 Poor infrastructure and political instability deter tourism, but small and manageable steps to avoid chaos and promote hospitality can work wonders. Full Article
v Free zones offer safe haven to investors By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Tue, 17 Dec 2019 10:14:37 +0000 The chief executive of Ras Al Khaimah Economic Zone (RAKEZ), shares his views over the perks of free zones in emerging markets. Full Article
v Viewpoint: In emerging states, more investment isn’t enough By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Mon, 20 Jan 2020 12:41:41 +0000 Emerging states must re-orientate their investment efforts to increasingly target those with an outsized social impact Full Article