genus

A review of the Jurassic dinoflagellate cyst genus Gonyaulacysta Deflandre 1964 emend. nov.

Riding, J B; Mariani, E; Fensome, R A. Review of Palaeobotany and Palynology vol. 299, 104605, 2022 p. 1-37, https://doi.org/10.1016/j.revpalbo.2022.104605
<a href="https://geoscan.nrcan.gc.ca/images/geoscan/20210363.jpg"><img src="https://geoscan.nrcan.gc.ca/images/geoscan/20210363.jpg" title="Review of Palaeobotany and Palynology vol. 299, 104605, 2022 p. 1-37, https://doi.org/10.1016/j.revpalbo.2022.104605" height="150" border="1" /></a>




genus

Crab from the Chinese pet market turns out to be a new species of a new genus

Shimmering carapaces and rattling claws make colourful freshwater crabs attractive to pet keepers. To answer the demand, fishermen are busy collecting and trading with the crustaceans, often not knowing what exactly they have handed over to their client.

read more



  • Biology & Nature

genus

Innovus 'syntax error'. but works in Genus


Hi everyone,

I'm new to using Innovus and I'm encountering an issue while trying to perform the "init_design" command. My goal is to perform the place and route. Here are the commands I'm using:

``
set init_verilog ./test.v
set init_top_cell TEST
set init_pwr_net {VDD VDD_2 VDD_3}
set init_gnd_net {VSS VSSA}
set init_lef_file { /home/laumecha/uw_openroad_free45/pdk/Drexel-ECEC575/Encounter/NangateOpenCellLibrary/Back_End/lef/NangateOpenCellLibrary.lef}
set init_mmmc_file {./viewDefinition.tcl}
init_design
```

However, I receive the following error:

```
#% Begin Load netlist data ... (date=06/04 12:07:50, mem=1478.7M)
*** Begin netlist parsing (mem=1439.0M) ***
Created 0 new cells from 0 timing libraries.
Reading netlist ...
Backslashed names will retain backslash and a trailing blank character.
**ERROR: (IMPVL-209):   In Verilog file './test.v', check line 16 near the text # for the issue: 'syntax error'.  Update the text accordingly.
Type 'man IMPVL-209' for more detail.
Verilog file './test.v' has errors!  See above.

*** Memory Usage v#1 (Current mem = 1439.027M, initial mem = 634.098M) ***
#% End Load netlist data ... (date=06/04 12:07:50, total cpu=0:00:00.0, real=0:00:00.0, peak res=1478.7M, current mem=1478.7M)
**ERROR: (IMPVL-902):   Failed to read netlist ./test.v. See previous error messages for details.  Resolve the issues and reload the design.
```

However, the file works perfectly in Genus.


It seems there is a syntax error in my Verilog file at line 16, but I'm not sure how to resolve it. Any guidance or suggestions would be greatly appreciated.

Thanks in advance!




genus

removing cdn_loop_breaker from the genus synthesis netlist

I am trying to remove the cdn_loop_breaker cells from the netlist. 
When I tried the below 2 things, genus synthesis tool removing the cdn_loop_breaker cells but while connecting the cdn_loop_breaker cell input to its proper connection, its somehow misleading the connections

Things i tried:
1.  remove_cdn_loop_breaker -instances *cdn_loop_breaker*
then i just ran remove_cdn_loop_breaker  comand without the -instances switch
2. remove_cdn_loop_breaker  
     
both of the above things are not providing the proper connections after removing the loop_breaker_cells

can anyone suggest the best possible workaround for this please?




genus

UPF 3.1 / Genus - Cannot find any instance for scope

Hi, I'm using genus (Version 21.14-s082_1) to synthesis a VHDL-design with multiple power-domains. After reading the power intent file and calling 'apply_power_intent',  I get the following warning:

Warning : Potential problem while applying power intent of 1801 file. [1801-99]
: Cannot find any instance for scope '/:CHIP_TOP'. Rest of commands in this scope will be skipped (set_scope:../../upf/CHIP_TOP.upf:2).
: Check the power intent. If the scenario is expected, this message can be ignored.

The fist two lines of CHIP_TOP.upf:

upf_version 3.1
set_scope :CHIP_TOP

I simulated the same  UPF and VHDL files with Xeclium and was able to verify all the IEEE1801/UPF aspects I need without any problems. I don't know, why genus is having a problem with the 'scope'.
In genus, after getting the warning, running 'set_db power_domain:CHIP_TOP/BLOCK_A/PD_CORE_D .library_domain PD0V5' returns the following error:

Error : <Start> word is not recognized. [TUI-182] [set_db]
: 'power_domain:CHIP_TOP/BLOCK/PD_CORE_D' is not a recognized object/attribute. Type 'help root:' to get a list of all supported objects and attributes.
: Check if the given <Start> word is a valid object_type, object or attribute.

Running 'commit_power_intent' gives me:

Started inserting low power cells...
====================================
Info : Command 'commit_power_intent' cannot proceed as there are no power domains present. [CPI-507]
: Design with no power domains is 'design:CHIP_TOP'.
Completed inserting low power cells (runtime 0.00).
====================================================

I'm suspecting that the problem lies in 'set_scope' and VHDL. I never had such problems with Verilog. I tried every way to reference the hierarchy in the code and now I'm at my wit's end and I need your help o/
How to set the scope with 'set_scope' in UPD 3.1 to the toplevel in VHDL, so that genus accepts it? Or is the problem caused by something else?

Best,

Iqbal




genus

Genus: Generated netlist doesn't define subckts

Dear all, 

I'm trying to perform an LVS check using Calibre between a layout that was generated by Innovus and the initial netlist generated by Genus. However, once I hit Run LVS on Calibre, it reports the following warnings and recommends to stop the process:

Source netlist references but does not define more than 10 subckts:
DFD1BWP7T
DFKCND1BWP7T
DFKCNQD1BWP7T
DFKSND1BWP7T
DFQD1BWP7T
IND2D0BWP7T
INR2D0BWP7T
INVD0BWP7T
INVD2P5BWP7T
IOA21D0BWP7T
... (and more)

If I proceed the LVS process it shows lots of errors as shown in the following image:

Why Genus doesn't include the definition of those sub circuits in the generated netlist? Is this related to Flat/Hierarchy netlisting? 

I have included my Genus scripts as well as the generated netlist in the attachments (and here - if attachment don't work).

Many thanks,

Anas




genus

New genus of jumping spiders ‘Tenkana’ discovered in south India

Unlike related species that live in forests, Tenkana spiders prefer drier areas and ground habitats and have been found in Tamil Nadu, Puducherry, Karnataka, Telangana and Andhra Pradesh 




genus

Smithsonian scientists discover new ghost ant genus and species

Scientists at the Smithsonian’s National Museum of Natural History have discovered a new genus and species of attine ants that reveals key clues in the […]

The post Smithsonian scientists discover new ghost ant genus and species appeared first on Smithsonian Insider.




genus

Gluing curves of genus 1 and 2 along their 2-torsion. (arXiv:2005.03587v1 [math.AG])

Let $X$ (resp. $Y$) be a curve of genus 1 (resp. 2) over a base field $k$ whose characteristic does not equal 2. We give criteria for the existence of a curve $Z$ over $k$ whose Jacobian is up to twist (2,2,2)-isogenous to the products of the Jacobians of $X$ and $Y$. Moreover, we give algorithms to construct the curve $Z$ once equations for $X$ and $Y$ are given. The first of these involves the use of hyperplane sections of the Kummer variety of $Y$ whose desingularization is isomorphic to $X$, whereas the second is based on interpolation methods involving numerical results over $mathbb{C}$ that are proved to be correct over general fields a posteriori. As an application, we find a twist of a Jacobian over $mathbb{Q}$ that admits a rational 70-torsion point.




genus

A theory of stacks with twisted fields and resolution of moduli of genus two stable maps. (arXiv:2005.03384v1 [math.AG])

We construct a smooth moduli stack of tuples consisting of genus two nodal curves, line bundles, and twisted fields. It leads to a desingularization of the moduli of genus two stable maps to projective spaces. The construction of this new moduli is based on systematical application of the theory of stacks with twisted fields (STF), which has its prototype appeared in arXiv:1906.10527 and arXiv:1201.2427 and is fully developed in this article. The results of this article are the second step of a series of works toward the resolutions of the moduli of stable maps of higher genera.




genus

Best Local YouTube/Internet Star: Genus Brewing

[IMAGE-1] If you think about it, the art of brewing beer is as visually interesting as it is delicious — the way the hops and the yeast and the grain all swirl together to create that sweet amber-colored goodness. Spokane's Genus Brewing has taken full advantage of that, and they've taken their passion for beer to the internet with great results.…




genus

Correction: Diversity in the Protein N-Glycosylation Pathways Within the Campylobacter Genus. [Additions and Corrections]




genus

Correction: Diversity in the Protein N-Glycosylation Pathways Within the Campylobacter Genus. [Additions and Corrections]




genus

A description of the genus Cinchona, comprehending the various species of vegetables from which the Peruvian and other barks of a similar quality are taken. Illustrated by figures of all the species hitherto discovered. To which is prefixed Professor Vahl

London : printed for B. and J. White, 1797.




genus

Die Untersuchung von nahrungsmitteln, genussmitteln und gebrauchsgegenständen. Praktisches handbuch für chemiker, medizinalbeamte, pharmazeuten, verwaltungs- und justizbehörden, etc. / von professor Gustav Rupp ... Mit 122 in den text gedru

Heidelberg : Winter, 1900.




genus

Die Verdaulichkeit der Nahrungs- und Genussmittel auf Grund mikroskopischer Untersuchungen der Faeces / von F. Schilling.

Leipzig : H. Hartung, 1901.




genus

The Genus citrus

9780128122174 (electronic bk.)




genus

Recent developments on genus Chaetomium

9783030316129 (electronic bk.)




genus

Cadence Genus Synthesis Solution – the Next Generation of RTL Synthesis

Physical synthesis has been around in various forms for many years. The basic idea is to bring some awareness of physical layout into synthesis. This week (June 3, 2015) Cadence is rolling out the Genus™ Synthesis Solution, a next-generation RTL synthesis tool that takes physical awareness in some new directions.

Here are four important things to know about Genus technology:

  • A massively parallel architecture improves turnaround time by up to 5X while maintaining quality of results
  • The Genus solution synthesizes up to 10M+ instances flat without impacting power, performance and area (PPA)
  • The Genus solution provides tight correlation with the Innovus Implementation System, using the same placement and routing algorithms
  • Globally focused PPA optimization saves up to 20% datapath area and power

Compared to previous-generation products such as the Cadence Encounter RTL Compiler Advanced Physical Option, the Genus solution approaches physical synthesis in a different way. The Encounter solution applied physical optimization “at the tail end of synthesis,” said David Stratman, senior principal product manager at Cadence. “We were doing a final incremental push, but we could only do so much, since we had locked in a lot of the earlier steps from a logical-only synthesis perspective.”

Genus Synthesis Solution supports the physical synthesis features in the previous Encounter solution, but it also brings the full physical scope upstream to RTL logic designers. “It’s going to enable the unit-level RTL designer to gain the benefits of physical synthesis without having to understand it,” Stratman said. As an example, users can apply generic (unmapped) placement at the earliest stages of synthesis, using a lightweight version of the Innovus placement engine. The bottom line: “Genus is a full solution where every step of synthesis can be done physically.”

Getting Massively Parallel

If you bring physical data into synthesis, you need a way to improve capacity and runtimes, especially with today’s gigantic advance-node SoCs. That’s why a massively parallel architecture is the cornerstone of the Genus solution. In this way, the Genus solution is following in the footsteps of the Innovus Implementation System, which also provides a massively parallel architecture.

Both the Innovus and Genus solutions can handle blocks of 10M instances flat. Given that SoCs today may have up to 100M instances, and often up to 50-100 top-level blocks, this is an important capability. Many tools today will only handle blocks of 1M instances. As a result, design teams often have to constrain block sizes.

Genus technology offers timing-driven, multi-level design partitioning across multiple threads and machines. It enables a near-linear runtime scaling without impacting PPA. According to Stratman, the Genus solution will scale well beyond 64 CPUs for a large design, with a “sweet spot” around 8-20 CPUs for today’s typical block sizes. Runs that used to take days, he noted, can now be done in hours.

As shown below, Genus technology leverages parallelism at three levels. The Genus solution can distribute design partitions to multiple threads or CPUs, and also supports local algorithm-level multithreading on each machine with shared memory. An adaptive scheduler ensures the best use of the available CPUs.


Fig. 1 – Genus Synthesis Solution provides three levels of parallelism

With its massive parallelism, Stratman said, Genus technology can obtain production-level quality of results (QoR) in runtimes typically seen in “prototype-level” synthesis runs. The “secret sauce,” he said, is in the partitioning. Cadence has found a way to generate partitions in a way that “slices the design more intelligently, and takes advantage of the Genus database to merge partitions without losing timing, power, or area,” Stratman said.

Playing in the Sandbox

In the Genus Synthesis Solution, a process called “sandboxing” allows any subset or partition of a design to be extracted along with full timing and a physical context. Optimization algorithms will treat a sandbox as a complete design.

The “Clipper” flow clips out or extracts the context of the larger SoC blocks. “It’s kind of a skeleton floorplan but it has all the timing information,” Stratman said. These extracted contexts include all the critical physical information to make the right RTL synthesis choices at the unit level. This information is used to streamline the handoffs between unit-level RTL designers, integration engineers, and implementation engineers. It’s a way for logic designers to gain some physical knowledge without having to be a physical synthesis expert, or without having to run a full top-level synthesis.

Fig. 2 – Clipper flow provides context for unit-level blocks

Correlation with Innovus Implementation System

Although Genus technology can work with third-party IC implementation systems, it shares algorithms and engines with Innovus Implementation System, as well as a common user interface. As shown below, both the Genus and Innovus solutions use a table-based Quantus QRC parasitic extraction, effective current source model (ECSM) and composite current source (CCS) delay calculations, and a unified global routing engine. Timing and wire length claim a 5% correlation.

Fig. 3 – Genus Synthesis Solution offers tight correlation with Innovus Implementation System

Genus technology doesn’t model everything to the same level of accuracy as the Innovus solution, however. “We chose to be lighter weight and more nimble to get expected runtimes,” Stratman said. A tight correlation is possible because the Genus and Innovus solutions use a similar code base. This correlation will be tighter than that between Encounter RTL Compiler Advanced Physical Option and the Encounter Digital Implementation System today.

Genus Synthesis Solution uses a new Hybrid Global Router that provides the ability to resolve congestion and construct layer-aware, timing-driven wire topologies. This accelerates analysis and debug, and reduces iterations. Users can avoid blockages and see a full Manhattan route as opposed to “flight lines.” Layer awareness is particularly important, given the large RC variations within the metal stack at advanced process nodes.

A version of the Innovus GigaPlace engine is available within the Genus solution. Here, users can do an RTL-level generic gate placement early in the synthesis flow (“generic gate” means there is no mapping into standard cell libraries, but there’s still an area estimate). This helps designers understand PPA tradeoffs earlier.

While users can go all the way to a design-rule “legal” placement with Genus Synthesis Solution, this isn’t generally recommended. “You can do a placement and use the same algorithms as GigaPlace and get a nice correlation without all the runtimes and additional steps of doing a fully legal placement,” Stratman said.

So where does Genus technology end and Innovus technology begin? That’s up to the user. You could use the Genus solution for logical synthesis and run all physical implementation in the Innovus system. If you run physical synthesis within the Genus solution, there’s more work earlier in the flow, but you get better insights into downstream problems and reduce iterations.

“Physical synthesis should be no more than 2X [runtime] of logic synthesis,” Stratman said. “All of the runtime that moves up should be shaved off of the place-and-route stages, because now you can do lightweight incremental optimization and incremental placement. The overall flow should be runtime neutral or better.”

Be Globally Aware

Finally, Genus Synthesis Solution offers a globally focused early PPA optimization across the whole datapath, delivering up to a 20% area reduction in the datapath. Stratman noted that this capability is a follow-on to an RCP feature called “globally focused mapping” that can determine the best cells to use in a library. What’s new with the Genus solution is that this concept has been applied at the arithmetic level.

For example, there are many ways to configure a multiplier – you may want to prioritize speed, power, or size. In the past, Stratman noted, synthesis tools have not been very good at globally optimizing the architecture selection for PPA optimization. “We can [now] find the most efficient global datapath implementation for a given region,” he said.

For further information about the Cadence Genus Synthesis Solution, including a datasheet and technical product brief, see this landing page.

Richard Goering

Related Blog Posts

Designer View – RTL Synthesis Success Strategies at 28nm and Below

Front-End Design Summit: The Future of RTL Synthesis and Design for Test

Physically-Aware Synthesis Helps Design a New Computer Architecture

 




genus

genus include `define file

I have a file that list all the `defines that is used in the current design. This file (define.vh) is generated, like so :

`define MACRO_1 5

`define MACRO_2 1'h0

... etc

But in genus when I run the command

read_hdl define.vh

read_hdl -sv top.sv

The tool work as if the defines never get parsed and returns with unreferenced errors. How can I resolve this? Do I have to include 'define.vh' in all the design files?




genus

GENUS can't handle parameterized ports?

The following is valid SystemVerilog:

module mmio
#(parameter PORTS=2,
parameter ADDR_WIDTH=30)
(input logic[ADDR_WIDTH-1:0] addr[PORTS],
output logic ben[PORTS], // Bus enable
output logic men[PORTS]); // Memory enable

always_comb begin
for(int i = 0; i < PORTS; i++) begin
ben[i] = addr[i] >= 'h20080004 && addr[i] < 'h200c0000;
men[i] = ~ben[i];
end
end

endmodule : mmio

And if you instantiate it:


mmio #(1, 30) MMIO(.addr('{scalar_addr}),
.ben('{ben}),
.men('{men}));

Genus returns an error: "Could not synthesize non-constant range values. [CDFG-231] [elaborate]" Is this just not possible in Genus or could it be caused by something else?




genus

About SDF file after synthesis in Genus Tool

hello sir this is Ganesh  from NIT Hamirpur pursuing MTech in VLSI. I have doubt regarding SDF i'm using genus tool for synthesis & after synthesis when i'm generating SDF it is giving delays by default for maximum values but i want all the delays like minimum:Typical:Maximum how can i do this. Is there any provision to set PVT values manually for SDF generation so that i can get all the delay values.




genus

Genus Synthesis Solution – Introduction to Stylus Common UI

The Cadence® Genus  Synthesis Solution, Innovus  Implementation System, and Tempus  Timing Signoff Solution have a lot of shared functionality, but in the past, the separate legacy user interfaces (UIs) created a lot of differences.

A new common user interface that the Genus solution shares with the Innovus and Tempus solutions streamlines flow development and simplifies usability across the complete Cadence digital flow. The Stylus Common UI provides a next-generation synthesis-to-signoff flow with unified database access, MMMC timing configuration and reporting, and low-power design initialization.

This webinar answers the following questions:

  • What is the Stylus Common UI and why did Cadence develop it?
  • How does someone invoke and use the Stylus Common UI?
  • What are some of the important and useful features of the Stylus Common UI?
  • What are key ways the Stylus Common UI is different from the Legacy UI?

If you want to learn more about Stylus UI in the context of Genus Synthesis Solution, refer to 45-minute recorded webinar on https://support.cadence.com (Cadence login required).

Video Title: Webinar: Genus Synthesis Solution—Introduction to the Stylus Common UI (Video)

Direct Link: https://support.cadence.com/apex/ArticleAttachmentPortal?id=a1O0V000009MoGIUA0&pageName=ArticleContent

Related Resources

If interested in the full course, including lab content, please contact your Cadence representative or email a request to training_enroll@cadence.com. You can also enroll in the course on http://learning.cadence.com.​

Enhance the Genus Synthesis experience with videos: Genus Synthesis Solution: Video Library

For any questions, general feedback, or future blog topic suggestions, please leave a comment. 




genus

Exploring Genus-Joules Integration is just a click away!!

Joules RTL Power Solution provides a cockpit for RTL designers to explore and optimize the power efficiency of their designs. But this capability is now not just limited to RTL designers!! Yes, you as a synthesis designer too can use the power analysis capabilities of Joules from within Genus Synthesis Solution!!

But:

  • How to do it?
  • Is there any specific switch required?
  • What is the flow/script when Joules is used from within Genus?
  • Are all the Joules commands supported?

To answer to all these questions is just a click away in the form of video on “Genus-Joules Integration”; refer it on https://support.cadence.com (Cadence login required).

Video Title: Genus-Joules Integration (Video)

Direct Link: https://support.cadence.com/apex/ArticleAttachmentPortal?id=a1O0V0000091CnXUAU&pageName=ArticleContent

 

Related Resources

Enhance the Genus Synthesis experience with videos: Genus Synthesis Solution: Video Library

Enhance the Joules experience with videos: Joules RTL Power Solution: Video Library

For any questions, general feedback, or future blog topic suggestions, please leave a comment. 




genus

"Candidatus Ethanoperedens," a Thermophilic Genus of Archaea Mediating the Anaerobic Oxidation of Ethane

ABSTRACT

Cold seeps and hydrothermal vents deliver large amounts of methane and other gaseous alkanes into marine surface sediments. Consortia of archaea and partner bacteria thrive on the oxidation of these alkanes and its coupling to sulfate reduction. The inherently slow growth of the involved organisms and the lack of pure cultures have impeded the understanding of the molecular mechanisms of archaeal alkane degradation. Here, using hydrothermal sediments of the Guaymas Basin (Gulf of California) and ethane as the substrate, we cultured microbial consortia of a novel anaerobic ethane oxidizer, "Candidatus Ethanoperedens thermophilum" (GoM-Arc1 clade), and its partner bacterium "Candidatus Desulfofervidus auxilii," previously known from methane-oxidizing consortia. The sulfate reduction activity of the culture doubled within one week, indicating a much faster growth than in any other alkane-oxidizing archaea described before. The dominance of a single archaeal phylotype in this culture allowed retrieval of a closed genome of "Ca. Ethanoperedens," a sister genus of the recently reported ethane oxidizer "Candidatus Argoarchaeum." The metagenome-assembled genome of "Ca. Ethanoperedens" encoded a complete methanogenesis pathway including a methyl-coenzyme M reductase (MCR) that is highly divergent from those of methanogens and methanotrophs. Combined substrate and metabolite analysis showed ethane as the sole growth substrate and production of ethyl-coenzyme M as the activation product. Stable isotope probing demonstrated that the enzymatic mechanism of ethane oxidation in "Ca. Ethanoperedens" is fully reversible; thus, its enzymatic machinery has potential for the biotechnological development of microbial ethane production from carbon dioxide.

IMPORTANCE In the seabed, gaseous alkanes are oxidized by syntrophic microbial consortia that thereby reduce fluxes of these compounds into the water column. Because of the immense quantities of seabed alkane fluxes, these consortia are key catalysts of the global carbon cycle. Due to their obligate syntrophic lifestyle, the physiology of alkane-degrading archaea remains poorly understood. We have now cultivated a thermophilic, relatively fast-growing ethane oxidizer in partnership with a sulfate-reducing bacterium known to aid in methane oxidation and have retrieved the first complete genome of a short-chain alkane-degrading archaeon. This will greatly enhance the understanding of nonmethane alkane activation by noncanonical methyl-coenzyme M reductase enzymes and provide insights into additional metabolic steps and the mechanisms underlying syntrophic partnerships. Ultimately, this knowledge could lead to the biotechnological development of alkanogenic microorganisms to support the carbon neutrality of industrial processes.




genus

Membrane peroxidation index and maximum lifespan are negatively correlated in fish of genus Nothobranchius [SHORT COMMUNICATION]

Jorge de Costa, Gustavo Barja, and Pedro F. Almaida-Pagan

Lipid composition of cell membranes is linked to metabolic rate and lifespan in mammals and birds but very little information is available for fishes. In this study, three fish species of the short-lived annual genus Nothobranchius with different maximum lifespan potentials (MLSP) and the longer-lived outgroup species Aphyosemion australe were studied to test whether they conform to the predictions of the longevity-homeoviscous adaptation (LHA) theory of aging. Lipid analyses were performed in whole fish samples and peroxidation indexes (PIn) for every PL class and for the whole membrane, were calculated. Total PL content was significantly lower in A. australe and N. korthausae, the two species with the highest MLSP, and a negative correlation between membrane total PIn and fish MLSP was found, this meaning that the longer-lived fish species have more saturated membranes and therefore, a lower susceptibility to oxidative damage, as the LHA theory posits.




genus

On the Cretaceous origin of the Order Syracosphaerales and the genus Syracosphaera

New scanning electron microscope observations of unadulterated calcareous nannofossil assemblages on lamina surfaces of Cretaceous Tanzania Drilling Project sediments reveal high diversity in the <3 µm size-range and high abundances of small and frangible morphologies. These assemblages prompt comparison to modern assemblages, which show similar high diversity and abundance of very small and fragile taxa, although these assemblages are generally not preserved in the fossil record due to taphonomic filtering. Not only are there broad similarities between the general composition of modern assemblages and those of the Tanzanian lagerstätte, but also our discovery of several new Cretaceous taxa provides evidence for greatly extended fossil lineages of extant orders, with implications for both deep-time biodiversity divergence and survival through the end-Cretaceous mass extinction. Our findings include: new species that are the first-recorded Mesozoic representatives of the extant Syracosphaeraceae and Papposphaeraceae; potentially previously unrecorded diversity in the Mesozoic Calciosoleniaceae, another extant order, represented by extant species that have been described already; and new species and unusually high abundances of the Mesozoic Stephanolithiaceae. We also highlight the extended range of an incertae sedis Cenozoic genus, Ellipsolithus, into at least the Turonian.

Here, we describe seven new miniscule to very small Cretaceous species: Syracosphaera antiqua, S. repagula, Pocillithus macleodii, P. crucifer, Stradnerlithus wendleri, S.? haynesiae and Tortolithus foramen.




genus

Temperature and Nutrient Levels Correspond with Lineage-Specific Microdiversification in the Ubiquitous and Abundant Freshwater Genus Limnohabitans [Environmental Microbiology]

Most freshwater bacterial communities are characterized by a few dominant taxa that are often ubiquitous across freshwater biomes worldwide. Our understanding of the genomic diversity within these taxonomic groups is limited to a subset of taxa. Here, we investigated the genomic diversity that enables Limnohabitans, a freshwater genus key in funneling carbon from primary producers to higher trophic levels, to achieve abundance and ubiquity. We reconstructed eight putative Limnohabitans metagenome-assembled genomes (MAGs) from stations located along broad environmental gradients existing in Lake Michigan, part of Earth’s largest surface freshwater system. De novo strain inference analysis resolved a total of 23 strains from these MAGs, which strongly partitioned into two habitat-specific clusters with cooccurring strains from different lineages. The largest number of strains belonged to the abundant LimB lineage, for which robust in situ strain delineation had not previously been achieved. Our data show that temperature and nutrient levels may be important environmental parameters associated with microdiversification within the Limnohabitans genus. In addition, strains predominant in low- and high-phosphorus conditions had larger genomic divergence than strains abundant under different temperatures. Comparative genomics and gene expression analysis yielded evidence for the ability of LimB populations to exhibit cellular motility and chemotaxis, a phenotype not yet associated with available Limnohabitans isolates. Our findings broaden historical marker gene-based surveys of Limnohabitans microdiversification and provide in situ evidence of genome diversity and its functional implications across freshwater gradients.

IMPORTANCE Limnohabitans is an important bacterial taxonomic group for cycling carbon in freshwater ecosystems worldwide. Here, we examined the genomic diversity of different Limnohabitans lineages. We focused on the LimB lineage of this genus, which is globally distributed and often abundant, and its abundance has shown to be largely invariant to environmental change. Our data show that the LimB lineage is actually comprised of multiple cooccurring populations for which the composition and genomic characteristics are associated with variations in temperature and nutrient levels. The gene expression profiles of this lineage suggest the importance of chemotaxis and motility, traits that had not yet been associated with the Limnohabitans genus, in adapting to environmental conditions.




genus

Valerian: the genus Valeriana / edited by Peter J. Houghton

Online Resource




genus

Revision of the ascomycete genus amphisphaeria / You Zhi Wang, André Aptroot and Kevin D. Hyde

Wang, You Zhi




genus

Mexican Eyeless Characin Fishes, Genus Astyanax




genus

Vertical distribution, abundance and feeding of the copepod genus Pleuromamma in the eastern Gulf of Mexico




genus

A cavernicolous Species of the Genus Anelpistina (Insecta: Zygentoma: Nicoletiidae) from Naj Tunich Cave, Petén, Guatemala




genus

A new troglomorphic species of Harmonicon (Araneae, Mygalomorphae, Dipluridae) from Pará, Brazil, with notes on the genus




genus

Genus Cyptophania Banks (Psocodea: ‘Psocoptera’: Lepidopsocidae): unique features, augmented description of the generotype, and descriptions of three new species




genus

Life beneath the surface of the central Texan Balcones Escarpment: genus Anillinus Casey, 1918 (Coleoptera, Carabidae, Bembidiini): new species, a key to the Texas species, and notes about their way of life and evolution




genus

Mexican eyeless Characin fishes, genus Astyanax: environment, distribution, and evolution




genus

Homo naledi, a new species of the genus Homo from the Dinaledi Chamber, South Africa




genus

Revision of genus Texoreddellia Wygodzinsky, 1973 (Hexapoda, Zygentoma, Nicoletiidae), a prominent element of the cave-adapted fauna of Texas




genus

Up high and down low: Molecular systematics and insight into the diversification of the ground beetle genus Rhadine LeConte




genus

A new genus for Cirolana troglexuma Botosaneanu & Iliffe, 1997, an anchialine cave dwelling cirolanid isopod (Crustacea, Isopoda, Cirolanidae) from the Bahamas




genus

Cave millipedes of the United States. XIV. Revalidation of the genus Speorthus Chamberlin, 1952 (Diplopoda, Polydesmida, Macrosternodesmidae), with a description of a new species from Texas and remarks on the families Polydesmidae and Macrosternodesmidae




genus

Abundance of mycorrhizae in epiphytic and terrestrial orchid roots from genus Epidendrum




genus

A striking new genus and species of troglobitic Campodeidae (Diplura) from Central Asia




genus

Molecular Systematics of Bats of the Genus Myotis (Vespertilionidae) Suggests Deterministic Ecomorphological Convergences




genus

On a New Genus of Vespertilionidæ




genus

Seven new species of the spider genus Ochyrocerafrom caves in Floresta Nacional de Carajás, PA, Brazil (Araneae, Ochyroceratidae)




genus

A new genus of horse from Pleistocene North America