conformal Conformal LEC can't finish at analyze abort step. How do I proceed? By community.cadence.com Published On :: Mon, 07 Aug 2023 02:19:35 GMT Hi Cadence & forumers, I am running a conformal LEC with a flattened netlist against RTL. The run hang for 5 days at the "analyze abort" step which is automatically launched by the compare. The netlist is flattened at some levels so hierarchical flow which I tried didn't help much. The flattened/highly optimized netlist is from customer and the ultimate goal. How shall I proceed now? On the a side note, a test run with a hierarchical netlist from a simple DC "compile -map_effort medium" command finished after 1 day or so. Thank you! // Command: vpx compare -verbose -ABORT_Print -NONEQ_Print -TIMEstamp// Starting multithreaded comparison ... Comparing 241112 points in parallel. // Multithreading Overhead: 38% Gates: 8501606/6168138// Multithreaded processing completed. ================================================================================Compared points PO DFF DLAT BBOX CUT Total --------------------------------------------------------------------------------Equivalent 1025 241638 30 75 21 242789 --------------------------------------------------------------------------------Abort 0 124 0 0 0 124 ================================================================================Compare results of instance/output/pin equivalences and/or sequential merge ================================================================================Compared points DFF Total --------------------------------------------------------------------------------Equivalent 204 204 ================================================================================// Warning: 512 DFFs/DLATs have 1 disabled clock port: skipped data cone comparison// Resolving aborts by analyze abort... Full Article
conformal Conformal CEC checking By community.cadence.com Published On :: Tue, 19 Mar 2024 21:04:55 GMT Below is showing my Master.v ******************************************************************************************************************************************************************************************************************** ///////ALUmodule ALU ( input [31:0] A,B, input[3:0] alu_control, output reg [31:0] alu_result, output reg zero_flag); always @(*) begin // Operating based on control input case(alu_control) 4'b0001: alu_result = A+B; 4'b0010: alu_result = A-B; 4'b0011: alu_result = A*B; 4'b0100: alu_result = A|B; 4'b0101: alu_result = A&B; 4'b0110: alu_result = A^B; 4'b0111: alu_result = ~B; 4'b1000: alu_result = A<<B; 4'b1001: alu_result = A>>B; 4'b1010: begin if(A<B) alu_result = 1; else alu_result = 0; end default: alu_result = A+B; endcase // Setting Zero_flag if ALU_result is zero if (alu_result) zero_flag = 1'b1; else zero_flag = 1'b0; endendmodule/////CONTROL UNIT/* Control unit controls takes opcode, funct7, funct3 of the instruction code to determineand control regwrite in IFU, alu control in ALU to execute proper instruction*//* Control unit controls takes opcode, funct7, funct3 of the instruction code to determineand control regwrite in IFU, alu control in ALU to execute proper instruction*/module CONTROL( input [4:0] opcode, output reg [3:0] alu_control, output reg regwrite_control,memread_control,memwrite_control); always @(opcode) begin case(opcode) 5'b00001: begin alu_control=4'b0001; //add regwrite_control=1; memread_control=0; memwrite_control=0; end 5'b00010: begin alu_control=4'b0010; ///sub regwrite_control=1; memread_control=0; memwrite_control=0; end 5'b00011: begin alu_control=4'b0011; //mul regwrite_control=0; memread_control=0; memwrite_control=1; end 5'b00100: begin alu_control=4'b0100; ///OR regwrite_control=0; memread_control=0; memwrite_control=1; end 5'b00101: begin alu_control=4'b0101; ///AND regwrite_control=1; memread_control=0; memwrite_control=0; end 5'b00110: begin alu_control=4'b0110; ///XOR regwrite_control=0; memread_control=0; memwrite_control=1; end 5'b00111: begin alu_control=4'b0111; ///NOT regwrite_control=0; memread_control=0; memwrite_control=1; end 5'b01000: begin alu_control=4'b1000; //SL regwrite_control=1; memread_control=1; memwrite_control=0; end 5'b11001: begin alu_control=4'b1001; //SR regwrite_control=1; memread_control=1; memwrite_control=0; end 5'b01010: begin alu_control=4'b1010; //COMPARE regwrite_control=1; memread_control=1; memwrite_control=0; end //5'b11010: begin ALU_control=4'b0000; //SW //regwrite_control=1; memread_control=0; memwrite_control=0; //end //5'b01010: begin ALU_control=4'bxxxx; //LW //regwrite_control=0; memread_control=0; memwrite_control=1; //end default : begin alu_control = 4'b0001; regwrite_control=1; memread_control=0; memwrite_control=0; end endcase endendmodule//////DATA MEMORYmodule Data_Mem(input clock, rd_mem_enable, wr_mem_enable,input [11:0] address,input [31:0] datawrite_to_mem,output reg [31:0] dataread_from_mem );reg [31:0] Data_Memory[8:0];initial begin Data_Memory[0] = 32'hFFFFFFFF; Data_Memory[1] = 32'h00000001; Data_Memory[2] = 32'h00000005; Data_Memory[3] = 32'h00000003; Data_Memory[4] = 32'h00000004; Data_Memory[5] = 32'h00000000; Data_Memory[6] = 32'hFFFFFFFF; Data_Memory[7] = 32'h00000000; //Data_Memory[8] = 32'h00000008; //Data_Memory[9] = 32'h00000009; //Data_Memory[10] = 32'h0000000A; //Data_Memory[11] = 32'h0000000B; //Data_Memory[12] = 32'h0000000C; //Data_Memory[13] = 32'h0000000D; //Data_Memory[14] = 32'h0000000E; //Data_Memory[15] = 32'h0000000F; //Data_Memory[16] = 32'h00000010; //Data_Memory[17] = 32'h00000011; //Data_Memory[18] = 32'h00000012; //Data_Memory[19] = 32'h00000013; //Data_Memory[20] = 32'h00000014; //Data_Memory[21] = 32'h00000015; //Data_Memory[22] = 32'h00000016; //Data_Memory[23] = 32'h00000017; //Data_Memory[24] = 32'h00000018; //Data_Memory[25] = 32'h00000019; //Data_Memory[26] = 32'h0000001A; //Data_Memory[27] = 32'h0000001B; //Data_Memory[28] = 32'h0000001C; //Data_Memory[29] = 32'h0000001D; //Data_Memory[30] = 32'h0000001E; Data_Memory[31] = 32'h0000001F; end always@(posedge clock) begin if(wr_mem_enable) begin Data_Memory[address] <= datawrite_to_mem; end else if(rd_mem_enable) begin dataread_from_mem <= Data_Memory[address]; end else begin dataread_from_mem <= 32'h00000000; end endendmodule /////INST MEM/* */module INST_MEM( input [31:0] PC, input reset, output [31:0] Instruction_Code); reg [7:0] Memory [43:0]; // Byte addressable memory with 32 locations assign Instruction_Code = {Memory[PC+3],Memory[PC+2],Memory[PC+1],Memory[PC]}; initial begin // Setting 32-bit instruction: add t1, s0,s1 => 0x00940333 Memory[3] = 8'b0000_0000; Memory[2] = 8'b0000_0001; Memory[1] = 8'b0111_1100; Memory[0] = 8'b0000_0001; // Setting 32-bit instruction: sub t2, s2, s3 => 0x413903b3 Memory[7] = 8'b0000_0000; Memory[6] = 8'b0000_0110; Memory[5] = 8'b1000_1111; Memory[4] = 8'b1110_0010; // Setting 32-bit instruction: mul t0, s4, s5 => 0x035a02b3 Memory[11] = 8'b0000_0000; Memory[10] = 8'b0000_0101; Memory[9] = 8'b0111_1100; Memory[8] = 8'b0000_0011; // Setting 32-bit instruction: or t3, s6, s7 => 0x017b4e33 Memory[15] = 8'b1111_1111; Memory[14] = 8'b1111_0100; Memory[13] = 8'b1010_0000; Memory[12] = 8'b1010_0100; // Setting 32-bit instruction: and Memory[19] = 8'b0000_0000; Memory[18] = 8'b0010_1001; Memory[17] = 8'b0001_1101; Memory[16] = 8'b0010_0101; // Setting 32-bit instruction: xor Memory[23] = 8'b0000_0000; Memory[22] = 8'b0001_1000; Memory[21] = 8'b0000_1101; Memory[20] = 8'b0110_0110; // Setting 32-bit instruction: not Memory[27] = 8'b0000_0000; Memory[26] = 8'b0010_1001; Memory[25] = 8'b0011_1101; Memory[24] = 8'b1100_0111; // Setting 32-bit instruction: shift left Memory[31] = 8'b0000_0000; Memory[30] = 8'b0101_0111; Memory[29] = 8'b1100_0110; Memory[28] = 8'b0000_1000; // Setting 32-bit instruction: shift right Memory[35] = 8'b0000_0000; Memory[34] = 8'b0110_1010; Memory[33] = 8'b1101_0010; Memory[32] = 8'b0111_1001; /// Setting 32-bit instruction: Campare Memory[39] = 8'b0000_0000; Memory[38] = 8'b0111_1010; Memory[37] = 8'b1101_0010; Memory[36] = 8'b0110_1010; /// Setting 32-bit instruction: Memory[43] = 8'b0000_0000; Memory[42] = 8'b0111_0111; Memory[41] = 8'b1101_0010; Memory[40] = 8'b0111_0010; end endmodule//IFU/*The instruction fetch unit has clock and reset pins as input and 32-bit instruction code as output.Internally the block has Instruction Memory, Program Counter(P.C) and an adder to increment counter by 4, on every positive clock edge.*/module IFU( input clock,reset, output [31:0] Instruction_Code);reg [31:0] PC = 32'b0; // 32-bit program counter is initialized to zero always @(posedge clock, posedge reset) begin if(reset == 1) //If reset is one, clear the program counter PC <= 0; else PC <= PC+4; // Increment program counter on positive clock edge end // Initializing the instruction memory block INST_MEM instr_mem(.PC(PC),.reset(reset),.Instruction_Code(Instruction_Code));endmodule///MUXmodule Mux_2X1 ( input mem_rd_select, // rd_mem_enable input wire [31:0] dataread_from_mem, regdata2, output reg [31:0] mux_out);always @(mem_rd_select or dataread_from_mem or regdata2) begin if (mem_rd_select == 1) mux_out <= dataread_from_mem ; else mux_out <= regdata2; endendmodule//DFlipFlopmodule DFlipFlop(D,clock,Q);input D; // Data input input clock; // clock input output reg Q; // output Q always @(posedge clock) begin Q <= D; end endmodule ///DATA pathmodule DATAPATH( input [4:0]Read_reg_add1, input [4:0]Read_reg_add2, input [4:0]Reg_write_add, input [3:0]Alu_control, input [11:0]Address, input Wr_reg_enable,Wr_mem_enable,Rd_mem_enable, input clock, input reset, output OUTPUT ); // Declaring internal wires that carry data wire zero_flag; wire [31:0]Dataread_from_mem; wire [31:0]read_data1; wire [31:0]read_data2; wire [31:0]Mux_out; wire [31:0]Alu_result; //wire [31:0]datawrite_to_reg; // Instantiating the register file REG_FILE reg_file_module(.reg_read_add1(Read_reg_add1),.reg_read_add2(Read_reg_add2),.reg_write_add(Reg_write_add),.datawrite_to_reg(Alu_result),.read_data1(read_data1),.read_data2(read_data2),.wr_reg_enable(Wr_reg_enable),.clock(clock),.reset(reset)); // Instanting ALU ALU alu_module(.A(read_data1), .B(Mux_out), .alu_control(Alu_control), .alu_result(Alu_result), .zero_flag(zero_flag)); //Mux Mux_2X1 mux(.mem_rd_select(Rd_mem_enable),.dataread_from_mem(Dataread_from_mem),.regdata2(read_data2),.mux_out(Mux_out)); //Data Memory Data_Mem DM(.clock(clock),.rd_mem_enable(Rd_mem_enable),.wr_mem_enable(Wr_mem_enable),.address(Address),.datawrite_to_mem(Alu_result),.dataread_from_mem(Dataread_from_mem)); // Dflipflop DFlipFlop DF (.D(zero_flag), .Q(OUTPUT),.clock(clock));endmodule/*A register file can read two registers and write in to one register. The RISC V register file contains total of 32 registers each of size 32-bit. Hence 5-bits are used to specify the register numbers that are to be read or written. *//*Register Read: Register file always outputs the contents of the register corresponding to read register numbers specified. Reading a register is not dependent on any other signals.Register Write: Register writes are controlled by a control signal RegWrite. Additionally the register file has a clock signal. The write should happen if RegWrite signal is made 1 and if there is positive edge of clock. */module REG_FILE( input [4:0] reg_read_add1, input [4:0] reg_read_add2, input [4:0] reg_write_add, input [31:0] datawrite_to_reg, output [31:0] read_data1, output [31:0] read_data2, input wr_reg_enable, input clock, input reset); reg [31:0] reg_memory [31:0]; // 32 memory locations each 32 bits wide initial begin reg_memory[0] = 32'h00000000; reg_memory[1] = 32'hFFFFFFFF; reg_memory[2] = 32'h00000002; reg_memory[3] = 32'hFFFFFFFF; reg_memory[4] = 32'h00000004; reg_memory[5] = 32'h01010101; reg_memory[6] = 32'h00000006; reg_memory[7] = 32'h00000000; reg_memory[8] = 32'h10101010; reg_memory[9] = 32'h00000009; reg_memory[10] = 32'h0000000A; reg_memory[11] = 32'h0000000B; reg_memory[12] = 32'h0000000C; reg_memory[13] = 32'h0000000D; reg_memory[14] = 32'h0000000E; reg_memory[15] = 32'h0000000F; reg_memory[16] = 32'h00000010; reg_memory[17] = 32'h00000011; reg_memory[18] = 32'h00000012; reg_memory[19] = 32'h00000013; reg_memory[20] = 32'h00000014; reg_memory[21] = 32'h00000015; //reg_memory[22] = 32'h00000016; //reg_memory[23] = 32'h00000017; //reg_memory[24] = 32'h00000018; //reg_memory[25] = 32'h00000019; //reg_memory[26] = 32'h0000001A; //reg_memory[27] = 32'h0000001B; //reg_memory[28] = 32'h0000001C; //reg_memory[29] = 32'h0000001D; //reg_memory[30] = 32'h0000001E; reg_memory[31] = 32'hFFFFFFFF; end // The register file will always output the vaules corresponding to read register numbers // It is independent of any other signal assign read_data1 = reg_memory[reg_read_add1]; assign read_data2 = reg_memory[reg_read_add2]; // If clock edge is positive and regwrite is 1, we write data to specified register always @(posedge clock) begin if (wr_reg_enable) begin reg_memory[reg_write_add] = datawrite_to_reg; end else reg_memory[reg_write_add] = 32'h00000000; endendmodule/////PROCESSORmodule PROCESSOR( input clock, input reset, output Output); wire [31:0] instruction_Code; wire [3:0] ALu_control; wire WR_reg_enable; wire WR_mem_enable; wire RD_mem_enable; IFU IFU_module(.clock(clock), .reset(reset), .Instruction_Code(instruction_Code)); CONTROL control_module(.opcode(instruction_Code[4:0]),.alu_control(ALu_control),.regwrite_control(WR_reg_enable),.memread_control(RD_mem_enable),.memwrite_control(WR_mem_enable)); DATAPATH datapath_module(.Wr_mem_enable(WR_mem_enable),.Rd_mem_enable(RD_mem_enable),.Read_reg_add1(instruction_Code[9:5]),.Read_reg_add2(instruction_Code[14:10]),.Reg_write_add(instruction_Code[19:15]),.Address(instruction_Code[31:20]),.Alu_control(ALu_control),.Wr_reg_enable(WR_reg_enable), .clock(clock), .reset(reset), .OUTPUT(Output));endmodule**********************************************************************************************************************************************************Below is my Synthesis.tcl file for genus synthesis ******************** set_attribute lib_search_path "/home/sameer23185/Desktop/VDF_PROJECT/lib"set_attribute hdl_search_path "/home/sameer23185/Desktop/VDF_PROJECT"set_attribute library "/home/sameer23185/Desktop/VDF_PROJECT/lib/90/fast.lib"read_hdl Master.velaborateread_sdc Min_area.sdcset_attribute hdl_preserve_unused_register trueset_attribute delete_unloaded_seqs falseset_attribute optimize_constant_0_flops falseset_attribute optimize_constant_1_flops falseset_attribute optimize_constant_latches falseset_attribute optimize_constant_feedback_seqs false#set_attribute prune_unsued_logic falsesynthesize -to_mapped -effort mediumwrite_hdl > report/HDL_min_Netlist.vwrite_sdc > report/constraints.sdc write_script > report/synthesis.greport_timing > report/synthesis_timing_report.repreport_power > report/synthesis_power_report.repreport_gates > report/synthesis_cell_report.repreport_area > report/synthesis_area_report.repgui_show **********************************************WHEN I COMPARING MY GOLDEN.V WITH HDL_min_Netlist.v during conformal , I got these non-equivalent point for every reg memory and for every data memory. I don't know what to do with these non-equivalent point. I've been stuck here for the past four days. Please help me in this and how can I remove this non- equivalent point , since I am new to this I really don't know what to do. Full Article
conformal how to tell conformal to ignore certain combination of input By community.cadence.com Published On :: Thu, 04 Apr 2024 10:35:38 GMT hi How can I tell the LEC tool to ignore a combination of Primary input bus in both Golden and revised. For example in both Golden and revised there is input [3:0] data_in I want LEC not to check the case that data_in[3:0] == 4'b1000 Full Article
conformal Using "add net constraints" command in Conformal By community.cadence.com Published On :: Thu, 01 Mar 2007 08:37:14 GMT Hi I have tried using "add net constraints" command to place one-cold constraints on a tristate enable bus. In the command line we need to specify the "net pathname" on which the constraints are to be enforced. The bus here is 20-bit. How should the net pathname be specified to make this 20-bit bus signals one_hot or one_cold. The bus was declared as follows: ten_bus [19:0] The command I used was add net constraints one_hot /ren_bus[19] What would the above command mean? Should we not specify all the nets' pathnames on the bus? Is it sufficient to specify the pathname of one net on the bus? I could not get much info regarding the functionality of this command. I would be obliged if anyone can throw some light. Thanks Prasad. Originally posted in cdnusers.org by anssprasad Full Article
conformal Conformal ECO Designer By community.cadence.com Published On :: Mon, 16 Sep 2024 05:21:00 GMT Conformal ECO Designer enables you to implement RTL engineering change orders (ECOs) for pre- and post-mask layout and offers early ECO prototyping capabilities for driving critical project decisions. Conformal ECO compares two designs and generates a functional patch that implements the changes between the two designs. One major criterion for determining patch quality is whether the patch can meet timing closure. To determine this, you typically need to run the time-consuming process of incremental synthesis and place-and-route. Instead, Conformal can analyze path logic depth changes before and after ECO patch generation. This provides a faster way to evaluate timing impact in patch generation stages. After the patch is created and applied, it is passed to Genus to optimize the patch. During patch optimization, you can choose to do many things like: Keeping constants in the patch Allowing tie cell inversion Specifying tie cell types Preserve DFF cells and cell types in the patch Preserve all cells and nets in the patch Preserve clock buffer cell in the patch Turn on/off sequential constant and sequential merge in patch optimization Allowing phase mapping for DFFs Map to spare cells Force fix DRC before timing What's Next? Join the Conformal ECO course to: Explore the many options and capabilities of Conformal ECO Use Conformal Engineering Change Order (ECO) for flat and hierarchical designs Generate a functional ECO patch, apply it to a design, optimize it, and map it to a specified technology Run a hierarchical design through ECO and run a comparison to prove the ECO is equivalent Run a postmask ECO using Conformal ECO GXL Make sure you have experience with Conformal Equivalence Checker or completed the Conformal Equivalence Checking course before taking this course. The online class is free for all Cadence customers with a Cadence Learning and Support Portal account. If you don’t have a Cadence Support account, go to Registration Help or Register Now and complete the requested information. For instructor-led training sessions "Live" or "Blended" please contact Cadence Training. Please don't forget to obtain your Digital Badge after completing the training. Add your free digital badge to your email signature or any social media and networking platform to show your qualities and build trust, making you and your projects even more successful. Full Article Conformal ECO Designer conformal RTL design
conformal Simulated conformality of atomic layer deposition in lateral channels: the impact of the Knudsen number on the saturation profile characteristics By pubs.rsc.org Published On :: Phys. Chem. Chem. Phys., 2024, Advance ArticleDOI: 10.1039/D4CP00131A, Paper Open Access   This article is licensed under a Creative Commons Attribution 3.0 Unported Licence.Christine Gonsalves, Jorge A. Velasco, Jihong Yim, Jänis Järvilehto, Ville Vuorinen, Riikka L. PuurunenSystematic analysis of saturation profile characteristics allowed development of an extended slope method that relates the slope of the adsorption front to the sticking coefficient for any Knudsen number.To cite this article before page numbers are assigned, use the DOI form of citation above.The content of this RSS Feed (c) The Royal Society of Chemistry Full Article
conformal Quantization of Lax integrable systems and Conformal Field Theory. (arXiv:2005.03053v1 [math-ph]) By arxiv.org Published On :: We present the correspondence between Lax integrable systems with spectral parameter on a Riemann surface, and Conformal Field Theories, in quite general set-up suggested earlier by the author. This correspondence turns out to give a prequantization of the integrable systems in question. Full Article
conformal Conformal filter and method for use thereof By www.freepatentsonline.com Published On :: Tue, 26 May 2015 08:00:00 EDT A system and method for detecting analytes using a conformal filter. A conformal filter, which may comprise a tunable filter, is configured to filter interacted photons conforming to a spectral shape correlated with an analyte of interest. Conformal filter configurations may be selected by consulting a modified look-up table associated with an analyte. An iterative methodology may be used to calibrate a conformal design for an analyte of interest, refine a previous conformal filter design for an analyte of interest, and/or generate a new conformal filter design for an analyte of interest. Full Article
conformal Conformal anti-reflective coating By www.freepatentsonline.com Published On :: Tue, 26 May 2015 08:00:00 EDT In one aspect, a method is disclosed that includes providing a substrate having a topography that comprises a relief and providing an anti-reflective film conformally over the substrate using a molecular layer deposition step. The anti-reflective film may be formed of a compound selected from the group consisting of: (i) an organic compound chemically bound to an inorganic compound, where one of the organic compound and the inorganic compound is bound to the substrate and where the organic compound absorbs light at at least one wavelength selected in the range 150-500 nm, or (ii) a monodisperse organic compound absorbing light at at least one wavelength selected in the range 150-500 nm. The method further includes providing a photoresist layer on the anti-reflective film. Full Article
conformal CONFORMAL COATED LIGHTING OR LUMINATION SYSTEM By www.freepatentsonline.com Published On :: Thu, 29 Jun 2017 08:00:00 EDT The present invention is related to a lighting device (100, 200, 300) and to a method for manufacturing such lighting device (100, 200, 300). A template (102) is provided having cavities (104) distributed across the template (102). The cavities (104) define mounting positions for a plurality of light source packages (110) each comprising a light source (112). The shape of the cavities matches the shape of the light source packages (110) such that the light source packages (110) have a limited number of possible mounting configurations in the cavities (104). Subsequently electrical conductors (122) are applied on a top surface of the template (102) for contacting electrodes of the light source packages (110). The light source packages (110, 208, 300) or the plurality of cavities comprise a reflective bottom layer (132, 220) and a light emitting surface of the light source (112, 210) faces the reflective bottom layer (132, 220). Full Article
conformal How to customize default_hdl_checks/rules in CCD conformal constraint designer By feedproxy.google.com Published On :: Tue, 03 Sep 2019 08:12:48 GMT Dear all, I am using Conformal Constraint Designer (Version 17.1) to analyse a SystemVerilog based design. While performing default HDL checks it finds some violations (issues) in RTL and complains (warnings, etc) about RTL checks and others. My questions: Is there any directive which I can add to RTL (system Verilog) so that particular line of code or signal is ignored or not checked for HDL or RTL checks. I can set ignore rules in rule manager (gui) but it does not seems effective if code line number changes or new signals are introduced. What is the best way to customize default_hdl_rules ? I will be grateful for your guidance. Thanks for your time. Full Article
conformal Force cell equivalence between same-footprint and same-functionality hard-macros in Conformal LEC By feedproxy.google.com Published On :: Thu, 14 Nov 2019 19:13:48 GMT For a netlist vs. netlist LEC flow we have to solve the following problem: - in the RTL code we replicate a large array of N x M all-identical hard-macros, let call them MACRO_A - MACRO_A is pre-assembled in Innovus and contains digital parts and analog parts (bottom-up hierarchical flow) - at top-level (full-chip) we instantiate this array of all-identical macros - in the top-level place-and-route flow we perform ecoChangeCell to remaster the top row of this array with MACRO_B - MACRO_B is just a copy of the original MACRO_A cell containing same pins position, same internal digital functionality and also same digital layout, only slight differences in one analog block inside the macro - MACRO_A and MACRO_B have the same .lib file generated with the do_extract_model command at the end of the Innovus flow, they only differ in the name of the macro - when performing post-synthesis netlist vs post-place-and-route we load .lib files of both macros in Conformal LEC - the LEC flow fails because Conformal LEC sees only MACRO_A instantiated in the post-synthesis netlist and both MACRO_A and MACRO_B in the post-palce-and-route netlist Since both digital functionality and STD cells layout are the same between MACRO_A and MACRO_B we don't want to keep track of this difference already at RTL stage, we just want to perform this ECO change in place-and-route and force Conformal to assume equivalence between MACRO_A and MACRO_B . Basically what I'm searching for is something similar to the add_instance_equivalences Conformal command but that works between Golden and Revised designs on cell primitives/black-boxes . Is this flow supported ? Thanks in advance Luca Full Article
conformal April 21, 2020 - How Clean is Clean Enough? IPC Issues Call for Participation for High-Reliability Cleaning and Conformal Coating Conference By www.ipc.org Published On :: Full Article
conformal We’re All Ears for No Shark Fins—Smart Conformal Antenna By news.harman.com Published On :: Wed, 22 Jan 2020 17:47:00 GMT Embedded connectivity is one of the latest technological trends that has been enthusiastically embraced by the automotive industry. As the modern car becomes increasingly connected, the number of vehicle radio services for aviation, infotainment, ADAS... Full Article
conformal Schaum's outlines : complex variables : with an introduction to conformal mapping and its applications / Murray R. Spiegel, Ph.D., Seymour Lipschutz, Ph.D. [and two others] By prospero.murdoch.edu.au Published On :: Spiegel, Murray R., author Full Article
conformal Analysis of quasiconformal maps in Rn By digital.lib.usf.edu Published On :: Sat, 15 Feb 2014 18:31:24 -0400 Full Article