power Meizu HD60 ANC headphones arrive with Sony-powered noise cancellation By www.gsmarena.com Published On :: Fri, 08 May 2020 17:17:02 +0200 The Meizu HD60 ANC over-ear headphones were unveiled alongside the Meizu 17 flagships and they are a serious piece of kit. They feature active noise cancellation, of course, but there's more to them than that. Meizu HD60 ANC over-ear headphones The HD60 is Hi-Res certified, so it will deliver high-quality audio. They connect over Bluetooth 5.0 and support aptX. There's an analog plug if you want to use a 3.5mm jack too. Noise cancellation is implemented by two chips. Sony's CDX3775 handles the ANC part while a Qualcomm chip with cVc does noise reduction during... Full Article news
power Moto G8 Power review By www.gsmarena.com Published On :: Fri, 08 May 2020 18:18:25 +0200 Full Article reviews
power Your money: More power to Aadhaar based eKYC, video KYC needed By www.financialexpress.com Published On :: 2020-04-28T03:45:00+05:30 The RBI recently allowed banks to use Video KYC to validate loans and credit card applications. Video KYC is another effective mechanism to accelerate application processes wherein customers can use their smartphone cameras to validate themselves before a bank-appointed officer. Full Article Money
power Ducati Diavel 1260S Review | Italian recipe for cruisers includes a hefty dash of power By www.financialexpress.com Published On :: 2020-02-10T17:47:11+05:30 2019 Ducati Diavel 1260S is definitely a handful if you’re not paying due attention, but then this isn’t the kind of bike that loses your attention. Sporty behaviour of a Ducati Monster and comfort from the XDiavel, the Diavel 1260S is something else. This one is well endowed in power and electronics and not to […] Full Article
power Novak Djokovic blasted for bizarre 'mind power' theory By www.rt.com Published On :: Fri, 08 May 2020 11:05:00 +0000 After the backlash over his anti-vaxxer stance, Novak Djokovic has faced fresh criticism for suggesting that people can alter the make-up of food and water by using mind power and emotions. Read Full Article at RT.com Full Article
power Why China is better prepared than other economic powers for any global crisis By www.rt.com Published On :: Sat, 09 May 2020 06:20:56 +0000 While the world’s second-largest economy, China, has suffered its first contraction on record due to the Covid-19 pandemic, some experts argue the country has been preparing for a possible crisis for a long time. Read Full Article at RT.com Full Article
power Case Study: Optimizing Cyberlink PowerDVD to improve battery life on Intel devices By feedproxy.google.com Published On :: 2014-09-30T16:39:00+05:30 Introduction Low battery life is one of the most serious issues currently plaguing mobile devices in general and Ultrabook™ devices and tablets specifically. Users have become accustomed to s... Full Article
power How to fix Nexus 4 power button issue By feedproxy.google.com Published On :: 2014-10-06T17:33:00+05:30 A darling among Google’s Nexus lineup of devices, one that actually created waves by selling at an atrociously low unlocked price in the US (where people are only ever used to buying phones with... Full Article
power Intel Curie: The Brain for low-cost, low-power wearables By feedproxy.google.com Published On :: 2015-02-16T17:05:00+05:30 Last year this month Intel's CEO Brian Krzanich introduced the world to the Intel Edison chip. This year he is introducing to us the Intel Curie, a button sized module using the Intel Quark SE SoC... Full Article
power How Intel Unlocked the Power of Unreal Engine 4.19* By feedproxy.google.com Published On :: 2018-11-14T14:34:00+05:30 The release of Epic's Unreal Engine* 4.19 marks a new chapter in optimizing for Intel technology, particularly in the case of optimizing for multicore processors. In the past, game engines tra... Full Article
power Lower power tariff can boost Make in India after corona crisis By www.financialexpress.com Published On :: 2020-05-08T01:15:00+05:30 "It is the time to review the policy of cross subsidisation of power as this plays a part in making our industries non-competitive,” former finance secretary Subhash Chandra Garg said at an online panel discussion on the power sector. Full Article Economy
power Ducati’s power cruiser, the Diavel 1260, launched By www.financialexpress.com Published On :: 2019-08-10T03:54:00+05:30 The new Diavel 1260 is powered by the 1262cc Ducati Testastretta DVT engine with variable cam timing, which has been upgraded to optimise performance and is equipped with the chain-type final transmission. Full Article Industry
power Maharashtra: Power elites stage a comeback as Uddhav Thackerey expands cabinet By www.financialexpress.com Published On :: 2019-12-31T07:18:00+05:30 With this exercise, the state’s new council of ministers — 33 cabinet and 10 state — has hit the maximum limit, capped at 15 per cent of the Vidhan Sabha strength of 288. Full Article India
power Adani Power net loss narrows to Rs 703 crore in Q3, courtesy lower fuel cost and higher margin By www.financialexpress.com Published On :: 2020-02-07T01:13:00+05:30 Revenues from operations for the third quarter rose 4.28% y-o-y to Rs6,574.82 crore on account of contribution from newly-acquired companies during the quarter. Full Article Industry
power PM Modi reviews reform measures in aviation and power sectors, worst hit by Covid-19 lockdown By www.financialexpress.com Published On :: 2020-05-02T01:20:00+05:30 Other important issues, such as the propagation of renewables, flexibility in coal supply and enforcement of contracts for attracting private investment in the sector were also discussed in the meeting. Full Article Industry
power Meet Zeus Radial V8: A unique all-electric motorcycle with a ‘V8’ powertrain design By www.financialexpress.com Published On :: 2019-07-19T13:00:56+05:30 Full Article
power Fastest, most powerful motorcycles in India under Rs 2 lakh: Here’s which one has the biggest top speed By www.financialexpress.com Published On :: 2019-11-04T15:02:50+05:30 Full Article
power Most powerful motorcycles under Rs 2 lakh: KTM 250 Duke to Royal Enfield Himalayan to Gixxer SF 250 By www.financialexpress.com Published On :: 2020-04-21T15:53:00+05:30 Full Article
power Top 6 motorcycles with car engines: Dodge Viper V10, Subaru boxer & even a diesel-powered bike By www.financialexpress.com Published On :: 2020-05-04T12:28:00+05:30 Full Article
power Uber scraps post-trip tracking feature via app; how empowering was that? Find out By www.financialexpress.com Published On :: 2017-08-30T05:25:00+05:30 Uber doing away with the post-trip tracking feature that had drawn the ire of users is a sign of the torsion privacy concerns create when faced with the demands of a data-driven world. Full Article Industry
power To infinity and beyond! China wants to build the first power station in space By www.financialexpress.com Published On :: 2019-02-19T00:28:00+05:30 China’s space ambitions are shifting into a higher orbit. Full Article World News
power Elon Musk powers NASA! SpaceX spacecraft Dragon carries NASA cargo to International Space Station By www.financialexpress.com Published On :: 2020-03-07T14:33:22+05:30 Ever since Dragon became the first private spacecraft to visit the ISS in 2012, it had spent 520 days at the International Space Station Full Article Lifestyle Science
power China launches its most powerful rocker carrier; 10 years of hard work pays off for Dragon By www.financialexpress.com Published On :: 2020-05-06T14:42:00+05:30 CALT head Wang Xiaojun said the new rocket, a version of China's largest carrier rocket, the Long March-5, would further expand China's aerospace activities. The Long March-5B is about 53.7 meters long, with a core stage 5 meters in diameter and four boosters 3.35 meters in diameter. Full Article Lifestyle Science
power Power play: Role gender plays in society, especially politics By www.financialexpress.com Published On :: 2020-05-03T02:05:00+05:30 With rumours that Kim Yo-jong might take over the leadership of North Korea after Kim Jong-un, we take a look at the role gender plays in society, especially politics. Full Article Lifestyle
power Power demand falls 22.6% in April, steepest plunge in recent history By www.financialexpress.com Published On :: 2020-05-09T01:10:00+05:30 Electricity consumption in Uttar Pradesh declined by 20.3% in April while Rajasthan recorded an annual fall of 21.3% in the same month. Full Article Industry
power Private power producers want govt to fast-track discom funding package By www.financialexpress.com Published On :: 2020-05-09T03:20:00+05:30 Power plants across the country currently have coal stock to run their plants for 31 days on an average. Full Article Industry
power Analyst Corner: Jindal Steel & Power Rating ‘buy’ – Volume uptick in April beat sector trend By www.financialexpress.com Published On :: 2020-05-09T04:22:00+05:30 Company faring better than peers on operational front due to its focus on exports; lower costs to aid margin; ‘Buy’ maintained. Full Article Markets
power High Powered Committee on Urban Co-operative Banks By www.banknetindia.com Published On :: RBI constitutes High Powered Committee on Urban Co-operative Banks Full Article
power Powerful Partnerships Drive Innovation By stagecorp.ztsaccess.com Published On :: Wed, 03 Feb 2016 00:00:00 +0000 Dr. Scott A. Brown, Vice President of External Innovation, Veterinary Medicine Research & Development at Zoetis, shares Zoetis approach to research alliances with organizations and companies across the pharmaceutical, biotechnology, agribusiness and animal health industries and describes the company’s research areas of interest. Full Article
power Covid-19 puts Putin's power plans on hold and economy in peril By www.theguardian.com Published On :: 2020-05-07T15:00:36Z Victory Day celebrations are cancelled and referendum to reset Putin’s term limits put on holdCoronavirus – latest updatesSee all our coronavirus coverageIf all had gone to plan, Vladimir Putin would have marked Victory Day in Red Square this weekend, hosting Emmanuel Macron and Xi Jinping as columns of soldiers and artillery passed by to honour the 75th anniversary of the defeat of Nazi Germany.The 9 May celebrations would have crowned a historic political season in Russia, including a symbolic referendum to amend Russia’s constitution and reset Putin’s term limits, allowing him to remain in the Kremlin until 2036. Related: Global report: Russia becomes Europe's coronavirus hotspot Related: 'Painful to see': rise in Russian medics falling prey to Covid-19 as death toll questioned Continue reading... Full Article Russia Vladimir Putin Europe World news Coronavirus outbreak
power Bolsonaro Fights for Survival, Turning to Empowered Military Elders By www.nytimes.com Published On :: Fri, 01 May 2020 18:23:55 GMT A flailing leader has given Brazil’s generals an opening to insert themselves onto the front lines of politics. Full Article
power NFL Power Rankings: 1-32 poll, plus post-draft winners for every team By www.espn.com Published On :: Thu, 30 Apr 2020 18:12:51 EST Ben Roethlisberger and Chandler Jones got some support in the NFL draft, while Alvin Kamara's importance was cemented even more. Full Article
power Special Route not connecting to Power Rings By feedproxy.google.com Published On :: Sun, 17 Nov 2019 13:15:57 GMT Hi, I'm a newbie and I'm working on a mixed-signal chip in Innovus. I've got a few analog LEF files that I've imported into my floorplan as macros. My chip has got two power domains - VCC and VBAT. One of the macro in the VBAT domain uses VBAT and GND as power rails myloweslife.com. On doing Special-Route, I've got a lot of minute power rails for the standard cells, as expected. But, the VBAT power rails are not getting extended till the outer power rings. Only the GND rails are correctly getting extended till the outer power rings. A screen shot is attached for reference. Thanks for any help Full Article
power Special Route not connecting to Power Rings By feedproxy.google.com Published On :: Tue, 31 Dec 2019 15:47:05 GMT Hi, I'm a newbie and I'm working on a mixed-signal chip in Innovus. I've got a few analog LEF files that I've imported into my floorplan as macros. My chip has got two power domains - VCC and VBAT. One of the macro in the VBAT domain uses VBAT and GND as power rails KrogerFeedback.com. On doing Special-Route, I've got a lot of minute power rails for the standard cells, as expected. But, the VBAT power rails are not getting extended till the outer power rings. Only the GND rails are correctly getting extended till the outer power rings. A screen shot is attached for reference. Thanks for any help Full Article
power Voltus power analysis By feedproxy.google.com Published On :: Sun, 02 Feb 2020 14:52:27 GMT Hi, I was wondering if it is possible to save the coordinates of each stripe and row of the power grid and if it is possible to find out the effective resistance between two given points using Voltus My goal is to built a resistance model of the power grid Thanks Full Article
power How do I write the LEF view of a power pad By feedproxy.google.com Published On :: Wed, 19 Feb 2020 18:13:00 GMT I have a set of pads for use in a design and I was wondering which attributes should I put on each pin. Let's say it has the following pins: - inh_vdd, inh_vss, CORE, PAD where the first two are for the pad rings, the CORE pin is to use in the die and the PAD pin is the bonding pad. I guess CORE would need: CLASS CORE USE POWER (or GROUND if this happened to be a ground pad) What about the inh_vdd and inh_vss? Theyu would not have the CLASS CORE, but would I use USE POWER/GROUND on them too? USE POWER (or GROUND) SHAPE ABUTMENT And the bonding pad? Should I put it in the LEF? Or would that cause confusion to innovus or Voltus? And what attributed would it use? USE POWER/GROUND only? Do I need anything in the LEF to indicate that the pin CORE and the pin PAD are essentially the same thing, just different places on the same power pad? Full Article
power In power pins unconnected By feedproxy.google.com Published On :: Tue, 31 Mar 2020 09:59:11 GMT Hi, When I import the top level Verilog file generated by Genus into Virtuoso, the power pins are left unconnected. I tried different configurations in "Global Net Options" tab. However, nothing changed. The cell is imported with three views, namely functional, schematic, and symbol. In www krogerfeedback com functional view everything looks OK, that is the top level Verilog file. In schematic, I can see the digital cells but VDD and VSS pins of the blocks are not connected. In the symbol view there are no pins for VDD and VSS. On top, we are trying to implement a digital block into Virtuoso. The technology is TSMC 65nm. On Genus and Innovus, everything goes straight and layout is generated successfully. Thanks. Full Article
power Mediatek Deploys Perspec for SoC Verification of Low Power Management (part 3 of 3) By feedproxy.google.com Published On :: Mon, 16 Oct 2017 08:10:00 GMT Here we conclude the blog series and highlight the results of Mediatek 's use of Cadence Perspec™ System Verifier for their SoC level verification. In case you missed it, Part 1 of the blog is here , and Part 2 of the blog is here . One of their key...(read more) Full Article uvm Perspec coherent perspec system verifier coherency library coherency Accellera mediatek ARM pss portable stimulus
power Whiteboard Wednesdays - Low Power SoC Design with High-Level Synthesis By community.cadence.com Published On :: Wed, 29 Apr 2020 15:00:00 GMT In this week’s Whiteboard Wednesdays video, Dave Apte discusses how to create the lowest power design possible by using architectural exploration and Cadence’s Stratus HLS solution.... [[ Click on the title to access the full blog on the Cadence Community site. ]] Full Article
power BoardSurfers: Allegro In-Design IR Drop Analysis: Essential for Optimal Power Delivery Design By feedproxy.google.com Published On :: Wed, 01 Apr 2020 15:12:00 GMT All PCB designers know the importance of proper power delivery for successful board design. Integrated circuits need the power to turn on, and ICs with marginal power delivery will not operate reliably. Since power planes can...(read more) Full Article PCB PI PCB design power
power New Rapid Adoption Kit (RAK) Enables Productive Mixed-Signal, Low Power Structural Verification By feedproxy.google.com Published On :: Mon, 10 Dec 2012 13:32:00 GMT All engineers can enhance their mixed-signal low-power structural verification productivity by learning while doing with a PIEA RAK (Power Intent Export Assistant Rapid Adoption Kit). They can verify the mixed-signal chip by a generating macromodel for their analog block automatically, and run it through Conformal Low Power (CLP) to perform a low power structural check. The power structure integrity of a mixed-signal, low-power block is verified via Conformal Low Power integrated into the Virtuoso Schematic Editor Power Intent Export Assistant (VSE-PIEA). Here is the flow. Applying the flow iteratively from lower to higher levels can verify the power structure. Cadence customers can learn more in a Rapid Adoption Kit (RAK) titled IC 6.1.5 Virtuoso Schematic Editor XL PIEA, Conformal Low Power: Mixed-Signal Low Power Structural Verification. To read the overview presentation, click on following link: PIEA Overview To download this PIEA RAK click on following link: PIEA RAK Download The RAK includes Rapid Adoption Kit with demo design (instructions are provided on how to setup the user environment). It Introduces the Power Intent Export Assistant (PIEA) feature that has been implemented in the Virtuoso IC615 release. The power intent extracted is then verified by calling Conformal Low Power (CLP) inside the Virtuoso environment. Last Update: 11/15/2012. Validated with IC 6.1.5 and CLP 11.1 The RAK uses a sample test case to go through PIEA + CLP flow as follows: Setup for PIEA Perform power intent extraction CPF Import: It is recommended to Import macro CPF, as oppose to designing CPF for sub-blocks. If you choose to import design CPF files please make sure the design CPF file has power domain information for all the top level boundary ports Generate macro CPF and design CPF Perform low power verification by running CLP It is also recommended to go through older RAKs as prerequisites. Conformal Low Power, RTL Compiler and Incisive: Low Power Verification for Beginners Conformal Low Power: CPF Macro Models Conformal Low Power and RTL Compiler: Low Power Verification for Advanced Users To access all these RAKs, visit our RAK Home Page to access Synthesis, Test and Verification flow Note: To access above docs, use your Cadence credentials to logon to the Cadence Online Support (COS) web site. Cadence Online Support website https://support.cadence.com/ is your 24/7 partner for getting help and resolving issues related to Cadence software. If you are signed up for e-mail notifications, you can receive new solutions, Application Notes (Technical Papers), Videos, Manuals, and more. You can send us your feedback by adding a comment below or using the feedback box on Cadence Online Support. Sumeet Aggarwal Full Article COS conformal VSE Virtuoso Schematic Editor Low Power clp Conformal Low Power Cadence Online Support Mixed Signal Verification mixed-signal low-power Mixed-Signal Virtuoso Power Intent Export Assistant PIEA mixed signal design CPF CPF Macro Modelling Digital Front-End Design
power Ultra Low Power Benchmarking: Is Apples-to-Apples Feasible? By feedproxy.google.com Published On :: Tue, 12 Feb 2013 13:00:00 GMT I noticed some very interesting news last week, widely reported in the technical press, and you can find the source press release here. In a nutshell, the Embedded Microprocessor Benchmark Consortium (EEMBC) has formed a group to look at benchmarks for ultra low power microcontrollers. Initially chaired by Horst Diewald, chief architect of MSP430TM microcontrollers at Texas Instruments, the group's line-up is an impressive "who's who" of the microcontroller space, including Analog Devices, ARM, Atmel, Cypress, Energy Micro, Freescale, Fujitsu, Microchip, Renesas, Silicon Labs, STMicro, and TI. As the press release explains, unlike usual processor benchmark suites which focus on performance, the ULP benchmark will focus on measuring the energy consumed by microcontrollers running various computational workloads over an extended time period. The benchmarking methodology will allow the microcontrollers to enter into their idle or sleep modes during the majority of time when they are not executing code, thereby simulating a real-world environment where products must support battery life measured in months, years, and even decades. Processor performance benchmarks seem to be as widely criticized as EPA fuel consumption figures for cars - and the criticism is somewhat related. There is a suspicion that manufacturers can tune the performance for better test results, rather than better real-world performance. On the face of it, the task to produce meaningful ultra low power benchmarks seems even more fraught with difficulties. For a start, there is a vast range of possible energy profiles - different ways that computing is spread over time - and a plethora of low power design techniques available to optimize the system for the set of profiles that particular embedded system is likely to experience. Furthermore, you could argue that, compared with performance in a computer system, energy consumption in an ultra low power embedded system has less to do with the controller itself and more to do with other parts of the system like the memories and mixed-signal real-world interfaces. EEMBC cites that common methods to gauge energy efficiency are lacking in growth applications such as portable medical devices, security systems, building automation, smart metering, and also applications using energy harvesting devices. At Cadence, we are seeing huge growth in these areas which, along with intelligence being introduced into all kinds of previously "dumb" appliances, is becoming known as the "Internet of Things." Despite the difficulties, with which the parties involved are all deeply familiar, I applaud this initiative. While it may be difficult to get to apples-to-apples comparisons for energy consumption in these applications, most of the time today we don't even know where the grocery store is. If the EEMBC effort at least gets us to the produce department, we're going to be better off. Pete Hardee Full Article Low Power microcontrollers ultra low power benchmarking benchmarks EEMBC ULP mixed-signal low-power low power benchmarks Internet of Things low-power design ARM
power New Incisive Low-Power Verification for CPF and IEEE 1801 / UPF By feedproxy.google.com Published On :: Tue, 07 May 2013 17:41:00 GMT On May 7, 2013 Cadence announced a 30% productivity gain in the June 2013 Incisive Enterprise Simulator 13.1 release. Advanced debug visualization, faster turn-around time, and the extension of eight years of low-power verification innovation to IEEE 1801/UPF are the key capabilities in the release. When we talk about low-power verification its easy to equate it with simulation. For certain, simulation is the heart of a low-power verification solution. Simulation enables engineers to run their design in the context of power intent. The challenge is that a simulation-only approach is inadequate. For example, if engineers could achieve SoC quality by verifying the individual function of each power control module (PCM), then simulation could be enough. For a single power domain, simulation can be enough. However, when the SoC has multiple power domains -- and we have seen SoCs with hundreds of them -- engineers have to check the PCMs and all of the arcs between the power modes. These SoCs often synchronize some of the domain switching to reduce overall complexity, creating the potential for signal skew errors on the control signals for the connected domains. Managing these complexities requires verification methodologies including advanced debug, verification planning, assertion-based verification, Universal Verification Methodology - Low Power (UVM-LP), and more (see Figure 1). Figure 1: Comprehensive Low-Power Verification But even advanced verification methodologies on top of simulation aren't enough. For example, the state machine that defines the legal and illegal power mode transitions is often written in software. The speed and capacity of the Palladium emulation platform is ideal to verify in this context, and it is integrated with simulation sharing debug, UVM acceleration, and static checks for low-power. And, it reports verification progress into a holistic plan for the SoC. Another example is the ability to compare the design in the implementation flow with the design running in simulation to make sure that what we verify is what we intend to build. Taken together, verification across multiple engines provides the comprehensive low-power verification needed for today's advanced node SoCs. That's the heart of this low-power verification announcement. Another point you may have noticed is the extension of the Common Power Format (CPF) based power-aware support in the Incisive Enterprise Simulator to IEEE 1801. We chose to bring IEEE 1801 to simulation first because users like you sometimes need to mix vendors for regression flows. Over time, Cadence will extend the low-power capabilities throughout its product suite to IEEE 1801. If you are using CPF today, you already have the best low-power solution. The evidence is clear: the upcoming IEEE 1801-2013 update includes many of the CPF features contributed to 1801/UPF to enable methodology convergence. Since you already have those features in the CPF flow, any migration before you have a mature IEEE 1801-2013 tool flow would reduce the functionality you have today. If you are using Unified Power Format (UPF) 1.0 today, you want to start planning your move toward the IEEE 1801-2013 standard. A good first step would be to move to the IEEE 1801-2009 standard. It fills holes in the earlier UPF 1.0 definition. While it does lack key features in -2013, it is an improvement that will make the migration to -2013 easier. The Incisive 13.1 release will run both UPF 1.0 and IEEE 1801-2009 power intent today. Over the next few weeks you'll see more technical blogs about the low-power capabilities coming in the Incisive 13.1 release. You can also join us on June 19 for a webinar that will introduce those capabilities using the reference design supplied with the Incisive Enterprise Simulator release. =Adam "The Jouler" Sherer (Yes, "Sherilog" is still here. :-) ) Full Article CPF 2.0 uvm Low Power IEEE 1801 PSO CDNLive CPF Incisive Enterprise Simulator IEEE 1801-2009 power shutoff Incisive Adam Sherer dpa low-power design UPF power IES verification
power Mixed-signal and Low-power Demo -- Cadence Booth at DAC By feedproxy.google.com Published On :: Fri, 31 May 2013 18:11:00 GMT DAC is right around the corner! On the demo floor at Cadence® Booth #2214, we will demonstrate how to use the Cadence mixed-signal and low-power solution to design, verify, and implement a microcontroller-based mixed-signal design. The demo design architecture is very similar to practical designs of many applications like power management ICs, automotive controllers, and the Internet of Things (IoT). Cadene tools demonstrated in this design include Virtuoso® Schematic Editor, Virtuoso Analog Design Environment, Virtuoso AMS Designer, Virtuoso Schematic Model Generator, Virtuoso Power Intent Assistant, Incisive® Enterprise Simulator with DMS option, Virtuoso Digital Implementation, Virtuoso Layout Suite, Encounter® RTL Compiler, Encounter Test, and Conformal Low Power. An extended version of this demo will also be shown at the ARM® Connected Community Pavilion Booth #921. For additional highlights on Cadence mixed-signal and low-power solutions, stop by our booth for: The popular book, Mixed-signal Methodology Guide, which will be on sale during DAC week! A sneak preview of the eBook version of the Mixed-signal Methodology Guide Customer presentations at the Cadence DAC Theater 9am, Tuesday, June 4 ARM Low-Power Verification of A15 Hard Macro Using CLP 10:30am, Tuesday, June 4 Silicon Labs Power Mode Verification in Mixed-Signal Chip 12:00pm, Tuesday, June 4 IBM An Interoperable Flow with Unified OA and QRC Technology Files 9am, Wednesday, June 5 Marvell Low-Power Verification Using CLP 4pm, Wednesday, June 5 Texas Instruments An Inter-Operable Flow with Unified OA and QRC Technology Files Partner presentations at the Cadence DAC Theater 10am, Monday, June 3 X-Fab Rapid Adoption of Advanced Cadence Design Flows Using X-FAB's AMS Reference Kit 3:30pm, Monday, June 3 TSMC TSMC Custom Reference Flow for 20nm - Cadence Track 9:30am,Tuesday, June 4 TowerJazz Substrate Noise Isolation Extraction/Model Using Cadence Analog Flow 12:30pm, Wednesday, June 5 GLOBALFOUNDRIES 20nm/14nm Analog/Mixed-signal Flow 2:30pm, Wednesday, June 5 ARM Cortex®-M0 and Cortex-M0+: Tiny, Easy, and Energy-efficient Processors for Mixed-signal Applications Technology sessions at suites 10am, Monday, June 3 Low-power Verification of Mixed-signal Designs 2pm, Monday, June 3 Advanced Implementation Techniques for Mixed-signal Designs 2pm, Monday, June 3 LP Simulation: Are You Really Done? 4pm, Monday, June 3 Power Format Update: Latest on CPF and IEEE 1801 11am, Wednesday, June 5 Mixed-signal Verification 11am, Wednesday, June 5 LP Simulation: Are You Really Done? 4pm, Wednesday, June 5 Successful RTL-to-GDSII Low-Power Design (FULL) 5pm, Wednesday, June 5 Custom/AMS Design at Advanced Nodes We will also have three presentations at the Si2 booth (#1427): 10:30am, Monday, June 3 An Interoperable Implementation Solution for Mixed-signal Design 11:30am, Tuesday, June 4 Low-power Verification for Mixed-signal Designs Using CPF 10:30am, Wednesday, June 5 System-level Low-power Verification Using Palladium We have a great program at DAC. Click the link for complete Cadence DAC Theater and Technology Sessions. Look forward to seeing you at DAC! Full Article DAC Low Power microcontrollers IBM Palladium Mixed Signal Verification Incisive mixed-signal low-power encounter Low Power Mixed Signal Verification Virtuoso Internet of Things low-power design mixed signal GlobalFoundries ARM Design Automation Conference microcontroller
power Low-Power IEEE 1801 / UPF Simulation Rapid Adoption Kit Now Available By feedproxy.google.com Published On :: Fri, 22 Nov 2013 03:59:00 GMT There is no better way other than a self-help training kit -- (rapid adoption kit, or RAK) -- to demonstrate the Incisive Enterprise Simulator's IEEE 1801 / UPF low-power features and its usage. The features include: Unique SimVision debugging Patent-pending power supply network visualization and debugging Tcl extensions for LP debugging Support for Liberty file power description Standby mode support Support for Verilog, VHDL, and mixed language Automatic understanding of complex feedthroughs Replay of initial blocks ‘x' corruption for integers and enumerated types Automatic understanding of loop variables Automatic support for analog interconnections Mickey Rodriguez, AVS Staff Solutions Engineer has developed a low power UPF-based RAK, which is now available on Cadence Online Support for you to download. This rapid adoption kit illustrates Incisive Enterprise Simulator (IES) support for the IEEE 1801 power intent standard. Patent-Pending Power Supply Network Browser. (Only available with the LP option to IES) In addition to an overview of IES features, SimVision and Tcl debug features, a lab is provided to give the user an opportunity to try these out. The complete RAK and associated overview presentation can be downloaded from our SoC and Functional Verification RAK page: Rapid Adoption Kits Overview RAK Database Introduction to IEEE-1801 Low Power Simulation View Download (2.3 MB) We are covering the following technologies through our RAKs at this moment: Synthesis, Test and Verification flow Encounter Digital Implementation (EDI) System and Sign-off Flow Virtuoso Custom IC and Sign-off Flow Silicon-Package-Board Design Verification IP SOC and IP level Functional Verification System level verification and validation with Palladium XP Please visit https://support.cadence.com/raks to download your copy of RAK. We will continue to provide self-help content on Cadence Online Support, your 24/7 partner for learning more about Cadence tools, technologies, and methodologies as well as getting help in resolving issues related to Cadence software. If you are signed up for e-mail notifications, you're likely to notice new solutions, application notes (technical papers), videos, manuals, etc. Note: To access the above documents, click a link and use your Cadence credentials to log on to the Cadence Online Support https://support.cadence.com/ website. Happy Learning! Sumeet Aggarwal and Adam Sherer Full Article Low Power IEEE 1801 Functional Verification Incisive Enterprise Simulator IEEE 1801-2013 IEEE 1801-2009 RAK Incisive 1801 UPF 2.1 UPF RAKs simulation IES
power Freescale Success Stepping Up to Low-Power Verification - Video By feedproxy.google.com Published On :: Fri, 17 Jan 2014 12:18:00 GMT Freescale was a successful Incisive® simulation CPF low-power user when they decided to step up their game. In November 2013, at CDNLive India, they presented a paper explaining how they improved their ability to find power-related bugs using a more sophisticated verification flow. We were able to catch up with Abhinav Nawal just after his presentation to capture this video explaining the key points in his paper. Abhinav had already established a low-power simulation process using directed tests for a design with power intent captured in CPF. While that is a sound approach, it tends to focus on the states associated with each power control module and at least some of the critical power mode changes. Since the full system can potentially exercise unforeseen combinations of power states, the directed test approach may be insufficient. Abhinav built a more complete low-power verification approach rooted in a low-power verification plan captured in Cadence® Incisive Enterprise Manager. He still used Incisive Enterprise Simulator and the SimVision debugger to execute and debug his design, but he also added Incisive Metric Center to analyze coverage from his low-power tests and connect that data back to the low-power verification plan. As a result, he was able to find many critical system-level corner case issues, which, left undetected, would have been catastrophic for his SoC. In the paper, Abhinav presents some of the key problems this approach was able to find. You can achieve results similar to Abhinav. Incisive Enterprise Simulator can generate a low-power verification plan from the power format, power-aware assertions, and it can collect power-aware knowledge. To get started, you can use the Incisive Low-Power Simulation Rapid Adoption Kit (RAK) for CPF available on Cadence Online Support. Just another happy Cadence low-power verification user! Regards, Adam "The Jouler" Sherer Full Article simvision CPF Incisive Enterprise Simulator Incisive Enterprise Manager MDV simulation verification
power The Power of Big Iron By feedproxy.google.com Published On :: Tue, 11 Nov 2014 17:25:00 GMT Key findings: 5X to 32X faster low-power verification using Palladium XP emulation It’s hot in July in Korea, and not just the temperature; the ideas, too. The ideas that flowed at CDNLive Korea were exciting, and that includes a very interesting talk by Jiyeon Park from the System LSI division of Samsung Electronics. His talk, titled “Enabling Low-Power Verification using Cadence Palladium XP,” struck a chord with the audience and the highlights bear sharing in this forum. This blog captures some of the highlights from the public talk in Seoul this summer. Motivation If you are familiar with the breadth of the product lines at Samsung Electronics, you will appreciate the diversity of the end-market requirements that they must fulfill. These markets and products include: Mobile/Handheld Smartphones Tablets Laptops Consumer/Digital Home High-definition/ultra-high-definition TV Gaming consoles Computers Networking/Data Center Servers Switches Communications What all of these markets have in common is that energy efficiency is now an integral and leading part of the value equation. For design teams, a good knowledge of power helps the evaluation and use of a host of critical decisions. From design architecture, IP make-versus-buy decisions, and manufacturing process selection, to the use of low-power design techniques, all are critically influenced by power. Using simulation for low-power verification Once the decision to overlay power reduction design techniques, such as power shutdown, has been made, new dimensions have been added to the already complex SoC verification task. The RTL verification environment is first augmented with a power intent file; in this case, IEEE 1801 was the format. The inclusion of this power intent information enables the examination of power domain shutdown, isolation operations, proper retention, and level shifting. Figure 1: Incisive SimVision power verification elements example Low-power verification using emulation Simulation for low-power verification works well, so why emulation? One word—complexity! It is easy to forget that “design complexity” (usually measured in gates or transistors) is not that same as “verification complexity” (which is really hard to measure). Consider a design with four power domains, three of which are switchable and one that is switchable but also has high- and low-voltage states. That yields nine basic states, and 24 modes of operation to test. Although some of those modes may not be consequential, when paired with hundreds or even thousands of functional tests, you can begin to understand the impact of overlaying low power on the verification problem. Thus, it becomes very desirable to enlist the raw computational power of emulation. Power off/on scenario on Palladium XP platform A typical functional test would be augmented to include the power control signals. For power shutoff verification, for instance, the cycles for asserting isolation begin the sequence, followed by state retention, and then finally a power shutdown of the domain must be asserted to verify operation. The figure below calls out a number of checks that ought to be performed. Figure 2: Power shutoff sequence and associated checks to make IEEE 1801 support in Palladium XP platform The IEEE 1801 support found in the Palladium PX platform includes some noteworthy capabilities, as well as some implications to the user. First is a patented memory randomization provided by the Palladium XP platform. This capability includes randomization of memory during shutdown and power up, control over read value during the power-off state, non-volatile memory state retention, and freezing of data on retention. The user should be aware there is about a 10%-20% capacity overhead associated with IEEE 1801-driven low-power verification. Figure 3: Palladium low-power verification enables schedule improvement Palladium low-power verification flow The great thing about the emulation work flow for IEEE 1801 power verification is that the only change is to include that IEEE 1801 power intent file during the compilation stage! Considerations for emulation environment bring-up A Universal Verification Methodology (UVM) approach was taken by the Samsung team. This provides a unique structure to the testbench environment that is very conducive to a metric-driven methodology. Using a testbench acceleration interface, teams can run the testbench on a software simulator and the design on the emulator. In addition, the formalism allows for the case of incomplete designs that do not hinder the verification of the parts that are completed. Experimental results The most exciting part of the paper was the results that were obtained. For a minor overhead cost in compile time and capacity, the team was able to improve runtimes of their tests by 5X to 32X. Being able run tests in a fraction of the time, or many more tests in the same time, has always been a benefit for emulation users. Now low-power verification is a proven part of the value provided to Palladium XP platform users. Figure 4: Samsung low-power verification emulation results Conclusions The key conclusions found were: No modification was needed for IEEE 1801 There is a small capacity and compile time overhead The emulation and simulation match The longer the test, the more the net speed up versus software simulation Run times improved from 5X to 32X! With this flow in place, the teams has begun power-aware testing that includes firmware and software verification to go along with the hardware testing. This expansion enables more capability in optimization of the power architecture. In addition, they are seeing faster silicon bring-up in the context of an applied low-power strategy. Steve Carlson Full Article Low Power Power Shutdown Samsung low power verification Emulation
power Power gain circle interpretation question By feedproxy.google.com Published On :: Sat, 21 Mar 2020 20:58:34 GMT Hello, i have made a power gain circle for 30dB,for setting a GAIN we need to set a matching network for input and output inpedance. but in this Gain circles it shows me only one complex number instead of two.(As shown bellow) Where did i go wrong with using it to find the input and output impedancies needed to be matched in order to have 30dB gain?Thanks. Full Article
power Are You Stuck While Synthesizing Your Design Due to Low-Power Issues? We Have the Solution! By feedproxy.google.com Published On :: Tue, 31 Mar 2020 14:39:00 GMT Optimizing power can be a very convoluted and crucial process. To make design chips meet throughput goals along with optimal power consumption, you need to plan right from the beginning! (read more) Full Article Low Power Logic Design
power Joules – Power Exploration Capabilities By feedproxy.google.com Published On :: Sat, 11 Apr 2020 00:59:00 GMT Several tools can generate power reports based on libraries & stimulus. The issue is what's NEXT? Is there any scope to improve power consumption of my design? What is the best-case power? Pin-point hot spots in my design? How to recover wasted power? And here is the solution in form of Joules RTL Power Exploration. Joules’ framework for power exploration and power implementation/recovery is stimulus based, where analysis is done by Joules and is explored/implemented by user. Power Exploration capabilities include: Efficiency metrics Pin point RTL location Cross probe to stim Centralize all power data Do you want to explore more? What is the flow? What commands can be used? There is a ONE-STOP solution to all these queries in the form of videos on Joules Power Exploration features on https://support.cadence.com (Cadence login required). Video Links: How to Analyze Ideal Power Using Joules RTL Power Solution GUI? (Video) What is Ideal Power Analysis Flow in Joules RTL Power Solution? (Video) How to Apply Observability Don’t Care (ODC) Technique in Joules? (Video) How to Debug Wasted Power Using Ideal Power Analyzer Window in Joules GUI? (Video) Related Resources Enhance the Joules experience with videos: Joules RTL Power Solution: Video Library For any questions, general feedback, or future blog topic suggestions, please leave a comment. Full Article Low Power Joules Logic Design Power Analysis