ter DAC 2015 Accellera Panel: Why Standards are Needed for Internet of Things (IoT) By feedproxy.google.com Published On :: Tue, 16 Jun 2015 18:40:00 GMT Design and verification standards are critical if we want to get a new generation of Internet of Things (IoT) devices into the market, according to panelists at an Accellera Systems Initiative breakfast at the Design Automation Conference (DAC 2015) June 9. However, IoT devices for different vertical markets pose very different challenges and requirements, making the standards picture extremely complicated. The panel was titled “Design and Verification Standards in the Era of IoT.” It was moderated by industry editor John Blyler, CEO of JB Systems Media and Technology. Panelists were as follows, shown left to right in the photo below: Lu Dai, director of engineering, Qualcomm Wael William Diab, senior director for strategy marketing, industry development and standardization, Huawei Chris Rowen, CTO, IP Group, Cadence Design Systems, Inc. In opening remarks, Blyler recalled a conversation from the recent IEEE International Microwave Symposium in which a panelist pointed to the networking and application layers as the key problem areas for RF and wireless standardization. Similarly, in the IoT space, we need to look “higher up” at the systems level and consider both software and hardware development, Blyler said. Rowen helped set some context for the discussion by noting three important points about IoT: IoT is not a product segment. Vertical product segments such as automotive, medical devices, and home automation all have very different characteristics. IoT “devices” are components within a hierarchy of systems that includes sensors, applications, user interface, gateway application (such as cell phone), and finally the cloud, where all data is aggregated. A bifurcation is taking place in design. We are going from extreme scale SoCs to “extreme fit” SoCs that are specialized, low energy, and very low cost. Here are some of the questions and answers that were addressed during the panel discussion. Q: The claim was recently made that given the level of interaction between sensors and gateways, 50X more verification nodes would have to be checked for IoT. What standards need to be enhanced or changed to accomplish that? Rowen: That’s a huge number of design dimensions, and the way you attack a problem of that scale is by modularization. You define areas that are protected and encapsulated by standards, and you prove that individual elements will be compliant with that interface. We will see that many interesting problems will be in the software layers. Q: Why is standardization so important for IoT? Dai: A company that is trying to make a lot of chips has to deal with a variety of standards. If you have to deal with hundreds of standards, it’s a big bottleneck for bringing your products to market. If you have good standardization within the development process of the IC, that helps time to market. When I first joined Qualcomm a few years ago, there was no internal verification methodology. When we had a new hire, it took months to ramp up on our internal methodology to become effective. Then came UVM [Universal Verification Methodology], and as UVM became standard, we reduced our ramp-up time tremendously. We’ve seen good engineers ramp up within days. Diab: When we start to look at standards, we have to do a better job of understanding how they’re all going to play with each other. I don’t think one set of standards can solve the IoT problem. Some standards can grow vertically in markets like industrial, and other standards are getting more horizontal. Security is very important and is probably one thing that goes horizontally. Requirements for verticals may be different, but processing capability, latency, bandwidth, and messaging capability are common [horizontal] concerns. I think a lot of standards organizations this year will work on horizontal slices [of IoT]. Q: IoT interoperability is important. Any suggestions for getting that done and moving forward? Rowen: The interoperability problem is that many of these [IoT] devices are wireless. Wireless is interesting because it is really hard – it’s not like a USB plug. Wireless lacks the infrastructure that exists today around wired standards. If we do things in a heavily wireless way, there will be major barriers to overcome. Dai: There are different standards for 4G LTE technology for different [geographical] markets. We have to make a chip that can work for 20 or 30 wireless technologies, and the cost for that is tremendous. The U.S., Europe, and China all have different tweaks. A good standard that works across the globe would reduce the cost a lot. Q: If we’re talking about the need to define requirements, a good example to look at is power. Certainly you have UPF [Unified Power Format] for the chip, board, and module. Rowen: There is certainly a big role for standards about power management. But there is also a domain in which we’re woefully under-equipped, and that is the ability to accurately model the different power usage scenarios at the applications level. Too often power devolves into something that runs over thousands of cycles to confirm that you can switch between power management levels successfully. That’s important, but it tells you very little about how much power your system is going to dissipate. Dai: There are products that claim to be UPF compliant, but my biggest problem with my most recent chip was still with UPF. These tools are not necessarily 100% UPF compliant. One other concern I have is that I cannot get one simulator to pass my Verilog code and then go to another that will pass. Even though we have a lot of tools, there is no certification process for a language standard. Q: When we create a standard, does there need to be a companion compliance test? Rowen: I think compliance is important. Compliance is being able to prove that you followed what you said you would follow. It also plays into functional safety requirements, where you need to prove you adhered to the flow. Dai: When we [Qualcomm] sell our 4G chips, we have to go through a lot of certifications. It’s often a differentiating factor. Q: For IoT you need power management and verification that includes analog. Comments? Rowen: Small, cheap sensor nodes tend to be very analog-rich, lower scale in terms of digital content, and have lots of software. Part of understanding what’s different about standardization is built on understanding what’s different about the design process, and what does it mean to have a software-rich and analog-rich world. Dai: Analog is important in this era of IoT. Analog needs to come into the standards community. Richard Goering Cadence Blog Posts About DAC 2015 Gary Smith at DAC 2015: How EDA Can Expand Into New Directions DAC 2015: Google Smart Contact Lens Project Stretches Limits of IC Design DAC 2015: Lip-Bu Tan, Cadence CEO, Sees Profound Changes in Semiconductors and EDA DAC 2015: “Level of Compute in Vision Processing Extraordinary” – Chris Rowen DAC 2015: Can We Build a Virtual Silicon Valley? DAC 2015: Cadence Vision-Design Presentation Wins Best Paper Honors Full Article IoT Blyler DAC 2015 Internet of Things Accellera IoT standards
ter Varying a digital IIR filter's poles&zeros over time By feedproxy.google.com Published On :: Thu, 14 Nov 2019 14:24:53 GMT Is there a better approach to varying the coefficients of a digital IIR over time to adjust the values of its poles and zeros than just recalculating the whole thing every time it changes? For example, lots of synth programs can apply an LFO to the cutoff frequency of a low/high pass filter. I can do some polynomial multiplication to get the coefficients for an IIR filter given its poles and zeros, but am wondering if there is a better way to adjust them over time than simply doing all the calculations over again for new poles/zeros. Particularly, I'm curious if there is a method that will more or less work for an arbitrary number of poles and zeros. You could use a filter implementation (state space) that directly uses the pole/zero values instead of a polynomial walmartone. That might be computationally more expensive, though (as you are taking a trip through the domain of complex numbers even though your inputs and output are real), and possibly numerically iffy.As far as I am aware, modifying filter behavior while introducing as few artefacts as possible is still an area of research. You might get away with just adjusting the filter coefficients if you do it slowly, but this does not mean this is the best method.In an audio application, I assume they do not switch filter coefficients abruptly, but instead do a cross-fade between the (settled) first filter and the (mostly or completely settled) target filter to avoid audible artefacts. Full Article
ter Cadence SoC Encounter 8.1 - Keyboard is not working By feedproxy.google.com Published On :: Tue, 21 Jan 2020 21:45:03 GMT Hello, I am using Encounter 8.1. My mouse is working fine, but my keyboard is not working well in Encounter. I can type in some boxes, but in many boxes I cannot type. The binding key is also not responding. How do I fix this issue? Thanks. Full Article
ter Interaction between Innovus and Virtuoso through OA database By feedproxy.google.com Published On :: Mon, 06 Apr 2020 14:32:45 GMT Hello,I created a floorplan view in Virtuoso ( it contains pins and blockages). I am trying to run PnR in Innovus for floorplan created in Virtuoso. I used set vars(oa_fp) "Library_name cell_name view_name" to read view from virtuoso. I am able to see pins in Innovus but not the blockages. Can i know how do i get the blockages created in virtuoso to Innovus. Regards,Amuu Full Article
ter allegro 16.6 pcb export parameters error By feedproxy.google.com Published On :: Tue, 29 Oct 2019 12:11:35 GMT hi all, what wrong with the error "param_write.log does not exist" when i export parameters in allegro 16.6 pcb board. someone can provide suggestions, thanks. best regards. Full Article
ter GENUS can't handle parameterized ports? By feedproxy.google.com Published On :: Fri, 20 Dec 2019 22:15:34 GMT The following is valid SystemVerilog: module mmio #(parameter PORTS=2, parameter ADDR_WIDTH=30) (input logic[ADDR_WIDTH-1:0] addr[PORTS], output logic ben[PORTS], // Bus enable output logic men[PORTS]); // Memory enable always_comb begin for(int i = 0; i < PORTS; i++) begin ben[i] = addr[i] >= 'h20080004 && addr[i] < 'h200c0000; men[i] = ~ben[i]; end endendmodule : mmio And if you instantiate it: mmio #(1, 30) MMIO(.addr('{scalar_addr}), .ben('{ben}), .men('{men})); Genus returns an error: "Could not synthesize non-constant range values. [CDFG-231] [elaborate]" Is this just not possible in Genus or could it be caused by something else? Full Article
ter About SDF file after synthesis in Genus Tool By feedproxy.google.com Published On :: Thu, 20 Feb 2020 09:47:17 GMT hello sir this is Ganesh from NIT Hamirpur pursuing MTech in VLSI. I have doubt regarding SDF i'm using genus tool for synthesis & after synthesis when i'm generating SDF it is giving delays by default for maximum values but i want all the delays like minimum:Typical:Maximum how can i do this. Is there any provision to set PVT values manually for SDF generation so that i can get all the delay values. Full Article
ter New Memory Estimator Helps Determine Amount of Memory Required for Large Harmonic Balance Simulations By feedproxy.google.com Published On :: Thu, 24 Apr 2014 14:24:00 GMT Hi Folks, A question that I've often received from designers, "Is there a method to determine the amount of memory required before I submit a job? I use distributed processing and need to provide an estimate before submitting jobs." The answer...(read more) Full Article HB Spectre RF MMSIM spectreRF harmonic balance memory estimator
ter Broadband SPICE -- New Tool for S-Parameter Simulation in Spectre RF By feedproxy.google.com Published On :: Thu, 24 Apr 2014 15:18:00 GMT Hi All, Here's another great new feature that I've found very helpful... Broadband SPICE is a new tool for S-parameter simulation in Spectre RF. In the MMSIM13.1.1 ( MMSIM13.1 USR1) release (now available on http://downloads.cadence.com), a...(read more) Full Article nport Spectre RF broadband SPICE nport settings Spectre s parameter simulation
ter See Cadence RF Technologies at IEEE International Microwave Symposium 2014 By feedproxy.google.com Published On :: Thu, 08 May 2014 16:02:00 GMT RF Enthusiasts, Come connect with Cadence RF experts and discover the latest advances in Cadence RF technologies, including Spectre RF at the IEEE International Microwave Symposium (IMS) 2014. This year, IMS will be held in Tampa, Florida. Cadence...(read more) Full Article RF Simulation IMS RFIC Spectre RF Virtuoso International Microwave Symposium IEEE
ter How to Specify Phase Noise as an Instance Parameter in Spectre Sources (e.g. vsource, isource, Port) By feedproxy.google.com Published On :: Wed, 21 May 2014 00:33:00 GMT Last year, I wrote a blog post entitled Modeling Oscillators with Arbitrary Phase Noise Profiles . We now have an easier way to do this. Starting in MMSIM 13.1 , you can specify the phase noise as an instance parameter in Spectre sources, including...(read more) Full Article Spectre RF phase noise spectreRF analogLib port noise profiles
ter 7 Habits of Highly Successful S-Parameters: How to Simulate Those Pesky S-Parameters in a Time Domain Simulator By feedproxy.google.com Published On :: Tue, 16 May 2017 20:11:02 GMT Hello Spectre Users, Simulating S-parameters in a time domain (transient, periodic steady state) simulator has been and continues to be a challenge for many analog and RF designers. I'm often asked: What is required in order to achieve accurate...(read more) Full Article S-parameter Spectre RF Spectre International Microwave Symposium
ter Link to: 7 Habits of Highly Successful S-Parameters: How to Simulate Those Pesky S-Parameters in a Time Domain Simulator By feedproxy.google.com Published On :: Thu, 06 Jul 2017 22:18:34 GMT Hi All, If you were unable to attend IMS 2017 in June 2017, the IMS MicroApp “7 Habits of Highly Successful S-Parameters” is on our Cadence website. On Cadence Online Support , the in-depth AppNote is here: 20466646 . Best regards, Tawna...(read more) Full Article nport analog/RF APS S-parameter Virtuoso Spectre Spectre RF broadband SPICE nport settings RF spectre spectreRF spectreRF s parameter simulation
ter How to Set Up and Plot Large-Signal S Parameters? By feedproxy.google.com Published On :: Mon, 04 Dec 2017 09:23:00 GMT Large-signal S-parameters (LSSPs) are an extension of small-signal S-parameters and are defined as the ratio of reflected (or transmitted) waves to incident waves. (read more) Full Article RF Simulation Spectre RF Virtuoso ADE Virtuoso
ter post-execution on an interrupted SKILL routine By feedproxy.google.com Published On :: Fri, 01 May 2020 23:35:50 GMT I have a SKILL script that executes the callback of a menu item, and depends on first redefining an environment variable. When a user interrupts the script with ctrl-C, the script cannot finish to set the environment variable back to its default value. How can I write the script in a way that handles a user interrupt to reset the changed environment variable after the interrupt? Full Article
ter How to save the cellview of all instances in a top cell faster? By feedproxy.google.com Published On :: Wed, 06 May 2020 06:47:41 GMT I have a top cell & need to revise all the instances' cellview & export top cell as a new GDS file. So I write a SKILL code to do so and I find out it will be a little bit slow by using the dbSave to save the cellview of each instance. Code as below: let( (topCV subCV ) topCV = dbOpenCellViewByType(newLibName topCellName "layout" "maskLayout" "a") foreach(inst topCV->instances subCV = dbOpenCellViewByType(newLibName inst->cellName "layout" "maskLayout" "a") ;;;revise code content ;;;... ;;;revise code content dbSave(subCV) dbClose(subCV) ) dbSave(topCV) dbClose(topCV) system(strcat( "strmout -library " newLibName " -topCell " topCellName " -view layout -strmFile " resultFolder "/" topCellName ".gds -techLib " srcLibName " -enableColoring -logFile " topCellName "_strmOut.log" ) ) ) Even if the cell content is not revised, the run time of dbSave will be 2 minutes when there are ~ 1000 instances in topcell. The exported GDS file size is ~2MB. And the dbSave becomes the bottle neck of the code runtime... Is there any better way to do such a thing? Full Article
ter AMIQ and Cadence demonstrate Accellera PSS v1.0 interoperability By feedproxy.google.com Published On :: Thu, 12 Jul 2018 00:04:00 GMT There’s nothing like the heat of a DAC demo to stress new technology and the engineers behind it! Such was the case at DAC 2018 at the new locale of Moscone Center West, San Francisco. Cadence and AMIQ were two of several vendors who announced ...(read more) Full Article Perspec perspec system verifier AMIQ Accellera pss portable stimulus
ter Chiplet Interface for Heterogeneous SiP By feedproxy.google.com Published On :: Thu, 17 Oct 2019 07:38:18 GMT https://community.cadence.com/cadence_blogs_8/b/breakfast-bytes/posts/cowos-info I came across cadence old article that discussing about TSMC advance packaging technology such as InFO & CoWoS. However, I couldn’t find information such as what I/O interface standard is required to realize this multi-chip SiP. For example, Intel using their proprietary AIB interface for EMIB solution. Besides, any idea if inFO also able to supports multi-chip integration for older node process to new node process such as 40-nm to 16-nm? Full Article
ter How to check a cluster of same net vias spacing, with have no shape or cline covered By feedproxy.google.com Published On :: Fri, 14 Feb 2020 04:12:15 GMT Hi all, I have a question regarding the manufacture : how to check a cluster of same net vias spacing, with have no shape or cline covered Full Article
ter Library Characterization Tidbits: Recharacterize What Matters - Save Time! By community.cadence.com Published On :: Thu, 30 Apr 2020 14:50:00 GMT Recently, I read an article about how failure is the stepping stone to success in life. It instantly struck a chord and a thought came zinging from nowhere about what happens to the failed arcs of a... [[ Click on the title to access the full blog on the Cadence Community site. ]] Full Article
ter Wally Rhines: Predicting Semiconductor Business Trends After Moore's Law By community.cadence.com Published On :: Tue, 05 May 2020 12:00:00 GMT I recently attended a webinar presented by Wally Rhines about his new book, Predicting Semiconductor Business Trends After Moore's Law . Wally was the CEO of Mentor, as you probably know. Now he... [[ Click on the title to access the full blog on the Cadence Community site. ]] Full Article
ter RAK Attack: Better Driver Tracing, Faster Palladium Build Time, UVM Register Map Automation By feedproxy.google.com Published On :: Sun, 15 Mar 2020 00:52:00 GMT Looking to learn? There's a bunch of new RAKs (Rapid Adoption Kits) available online now! 1) Indago 19.09 Better Driver Tracing and More Are you new to Indago and not sure where to start? Luckily, there’s a new Rapid Adoption Kit for you: the Indago 19.09 Overview RAK! This neat package contains everything you need to get your debugging started through Indago. In four short labs, plus a brief introductory lab, you’ll have all the basics of Indago 19.09 down—the Indago working environment, the SmartLog, how Indago interacts with the rest of the Cadence Verification Suite, and how Indago uses HDL driver tracing. Lab 1 discusses the various debugging tools included in Indago and teaches you how to customize your Indago windows and environment settings. Lab 2 covers the SmartLog feature and talks about analyzing and filtering its messages to suit your needs, as well as how to interact with the waveform marker. Lab 3 is an interactive Indago debugging experience—it’ll walk you through how to use Indago and its features in an actual working environment: setting breakpoints, using simulator commands in the Indago console, toolbars, switches, and more. Lab 4 is all things HDL tracing—recording debug data, an introduction to debug assertions, waveform visualizations, driving expression analysis, and single-step driver tracing, among other things. Interested? Check out the RAK here. 2) IXCOM MSIE: Faster Palladium Build Time Got several testbenches you want to compile with the same DUT and tests and you want to do it fast? With IXCOM, all you have to do to compile those different testbenches is use the xrun command for each after compiling your DUT. But what exactly is IXCOM, and how does one start using it? This quick RAK can help—here, you’ll learn the basics of using MSIE features with IXCOM, complete with an example to get you started. Using MSIE can vastly improve your build times with Palladium and using IXCOM is the best way to shrink that tedious rebuild time as small as it can get. Check out this RAK here. 3) JasperGold Control and Status Register Verification App Automates UVM Register Map Verification New to the JasperGold Control and Status Register (CSR) Verification App for your UVM testbenches? Don’t worry; there’s a RAK for that! This eponymous RAK can get you up and running with this in no time, helping you automate your checks from UVM register map specs. With this RAK, you’ll learn the basics of the JasperGold CSR, how to use JasperGold CSR’s Proof Accelerator, and more. CSR features a model-based approach to predicting a register’s expected value, supports pipeline interfaces, all IP-XACT access policies, and it can fully model any expected register value. It also supports register aliases, read and write semantics, and separate read/write data latencies in any given field. If this functionality sounds up your alley, you can take a look at this RAK here. Full Article Rapid Adoption Kit IXCOM RAK Indago JasperGold
ter Power gain circle interpretation question By feedproxy.google.com Published On :: Sat, 21 Mar 2020 20:58:34 GMT Hello, i have made a power gain circle for 30dB,for setting a GAIN we need to set a matching network for input and output inpedance. but in this Gain circles it shows me only one complex number instead of two.(As shown bellow) Where did i go wrong with using it to find the input and output impedancies needed to be matched in order to have 30dB gain?Thanks. Full Article
ter Kf parameter testing in spectre under non standart conditions By feedproxy.google.com Published On :: Tue, 31 Mar 2020 19:02:42 GMT Hello, i need to test the parameter Kf under some conditions in subthreshold.i cannot just plot the OP param,becasue i need to derive it under certain conditions. Spectre(of Cadence) like BSIM(of Berkley) has developed a method for deriving each parameter in their model. Is there a way to help me with such manual where i can test in cadence virtuoso the Kf parameter shown in the formula bellow? Thanks. Full Article
ter pnoise pmjitter simulation By feedproxy.google.com Published On :: Fri, 24 Apr 2020 07:20:31 GMT Hi, when I applied a voltage divider implemented by two 100-ohm resistors to a 2Vpp 5GHz vsin source, the phase noise simulation using pnoise/fullspectrum with different types, jitter and source have different results. The simulated output noise results are 165.76aV2/Hz for pmjitter case, and 828.79zV2/Hz for source case. The source case result equals to the output noise calculation. For my application, the output will be applied to driven circuits and thus pm jitter is concerned. As the pmjitter is based on the noise sampling at the threshold crossings, I was wondering how spectre gets the pmjitter resullts since sampling white noise with infinite bandwidth is impossible to my knowledge? Interestingly, the Jee result by integration from 10kHz to 2.5GHz is ~41fs and is closed to Jee,rms from the transient noise simulation. I am also not sure how these results come and match each other. If applying the voltage divider output to drive next stages, I was wondering to what extent I can trust the input jitter from these simulations? Thank you. Full Article
ter Here Is Why the Indian Voter Is Saddled With Bad Economics By feedproxy.google.com Published On :: 2019-02-03T03:54:17+00:00 This is the 15th installment of The Rationalist, my column for the Times of India. It’s election season, and promises are raining down on voters like rose petals on naïve newlyweds. Earlier this week, the Congress party announced a minimum income guarantee for the poor. This Friday, the Modi government released a budget full of sops. As the days go by, the promises will get bolder, and you might feel important that so much attention is being given to you. Well, the joke is on you. Every election, HL Mencken once said, is “an advance auction sale of stolen goods.” A bunch of competing mafias fight to rule over you for the next five years. You decide who wins, on the basis of who can bribe you better with your own money. This is an absurd situation, which I tried to express in a limerick I wrote for this page a couple of years ago: POLITICS: A neta who loves currency notes/ Told me what his line of work denotes./ ‘It is kind of funny./ We steal people’s money/And use some of it to buy their votes.’ We’re the dupes here, and we pay far more to keep this circus going than this circus costs. It would be okay if the parties, once they came to power, provided good governance. But voters have given up on that, and now only want patronage and handouts. That leads to one of the biggest problems in Indian politics: We are stuck in an equilibrium where all good politics is bad economics, and vice versa. For example, the minimum guarantee for the poor is good politics, because the optics are great. It’s basically Garibi Hatao: that slogan made Indira Gandhi a political juggernaut in the 1970s, at the same time that she unleashed a series of economic policies that kept millions of people in garibi for decades longer than they should have been. This time, the Congress has released no details, and keeping it vague makes sense because I find it hard to see how it can make economic sense. Depending on how they define ‘poor’, how much income they offer and what the cost is, the plan will either be ineffective or unworkable. The Modi government’s interim budget announced a handout for poor farmers that seemed rather pointless. Given our agricultural distress, offering a poor farmer 500 bucks a month seems almost like mockery. Such condescending handouts solve nothing. The poor want jobs and opportunities. Those come with growth, which requires structural reforms. Structural reforms don’t sound sexy as election promises. Handouts do. A classic example is farm loan waivers. We have reached a stage in our politics where every party has to promise them to assuage farmers, who are a strong vote bank everywhere. You can’t blame farmers for wanting them – they are a necessary anaesthetic. But no government has yet made a serious attempt at tackling the root causes of our agricultural crisis. Why is it that Good Politics in India is always Bad Economics? Let me put forth some possible reasons. One, voters tend to think in zero-sum ways, as if the pie is fixed, and the only way to bring people out of poverty is to redistribute. The truth is that trade is a positive-sum game, and nations can only be lifted out of poverty when the whole pie grows. But this is unintuitive. Two, Indian politics revolves around identity and patronage. The spoils of power are limited – that is indeed a zero-sum game – so you’re likely to vote for whoever can look after the interests of your in-group rather than care about the economy as a whole. Three, voters tend to stay uninformed for good reasons, because of what Public Choice economists call Rational Ignorance. A single vote is unlikely to make a difference in an election, so why put in the effort to understand the nuances of economics and governance? Just ask, what is in it for me, and go with whatever seems to be the best answer. Four, Politicians have a short-term horizon, geared towards winning the next election. A good policy that may take years to play out is unattractive. A policy that will win them votes in the short term is preferable. Sadly, no Indian party has shown a willingness to aim for the long term. The Congress has produced new Gandhis, but not new ideas. And while the BJP did make some solid promises in 2014, they did not walk that talk, and have proved to be, as Arun Shourie once called them, UPA + Cow. Even the Congress is adopting the cow, in fact, so maybe the BJP will add Temple to that mix? Benjamin Franklin once said, “Democracy is two wolves and a lamb voting on what to have for lunch.” This election season, my friends, the people of India are on the menu. You have been deveined and deboned, marinated with rhetoric, seasoned with narrative – now enter the oven and vote. The India Uncut Blog © 2010 Amit Varma. All rights reserved. Follow me on Twitter. Full Article
ter Lessons from an Ankhon Dekhi Prime Minister By feedproxy.google.com Published On :: 2019-05-05T03:17:51+00:00 This is the 19th installment of The Rationalist, my column for the Times of India. A friend of mine was very impressed by the interview Narendra Modi granted last week to Akshay Kumar. ‘Such a charming man, such great work ethic,’ he gushed. ‘He is the kind of uncle I would want my kids to have.’ And then, in the same breath, he asked, ‘How can such a good man be such a bad prime minister?” I don’t want to be uncharitable and suggest that Modi’s image is entirely manufactured, so let’s take the interview at face value. Let’s also grant Modi his claims about the purity of his neeyat (intentions), and reframe the question this way: when it comes to public policy, why do good intentions often lead to bad outcomes? To attempt an answer, I’ll refer to a story a friend of mine, who knows Modi well, once told me about him. Modi was chilling with his friends at home more than a decade ago, and told them an incident from his childhood. His mother was ill once, and the young Narendra was tending to her. The heat was enervating, so the boy went to the switchboard to switch on the fan. But there was no electricity. My friend said that as he told this story, Modi’s eyes filled with tears. Even after all these years, he was moved by the memory. My friend used this story to make the point that Modi’s vision of the world is experiential. If he experiences something, he understands it. When he became chief minister of Gujarat, he made it his stated mission to get reliable electricity to every part of Gujarat. No doubt this was shaped by the time he flicked a switch as a young boy and the fan did not budge. Similarly, he has given importance to things like roads and cleanliness, since he would have experienced the impact of those as a young man. My term for him, inspired by Rajat Kapoor’s 2014 film, is ‘the ankhon dekhi prime minister’. At one level, this is a good thing. He sees a problem and works for the rest of his life to solve it. But what of things he cannot experience? The economy is a complex beast, as is society itself, and beyond a certain level, you need to grasp abstract concepts to understand how the world works. You cannot experience them. For example, spontaneous order, or the idea that society and markets, like language, cannot be centrally directed or planned. Or the positive-sum nature of things, which is the engine of our prosperity: the idea that every transaction is a win-win game, and that for one person to win, another does not have to lose. Or, indeed, respect for individual rights and free speech. One understands abstract concepts by reading about them, understanding them, applying them to the real world. Modi is not known to be a reader, and this is not his fault. Given his background, it is a near-miracle that he has made it this far. He wasn’t born into a home with a reading culture, and did not have either the resources or the time when he was young to devote to reading. The only way he could learn about the world, thus, was by experiencing it. There are two lessons here, one for Modi himself and others in his position, and another for everyone. The lesson in this for Modi is a lesson for anyone who rises to such an important position, even if he is the smartest person in the world. That lesson is to have humility about the bounds of your knowledge, and to surround yourself with experts who can advise you well. Be driven by values and not confidence in your own knowledge. Gather intellectual giants around you, and stand on their shoulders. Modi did not do this in the case of demonetisation, which he carried out against the advice of every expert he consulted. We all know the damage it caused to the economy. The other learning from this is for all of us. How do we make sense of the world? By connecting dots. An ankhon-dekhi approach will get us very few dots, and our view of the world will be blurred and incomplete. The best way to gather more dots is reading. The more we read, the better we understand the world, and the better the decisions we take. When we can experience a thousand lives through books, why restrict ourselves to one? A good man with noble intentions can make bad decisions with horrible consequences. The only way to hedge against this is by staying humble and reading more. So when you finish reading this piece, think of an unread book that you’d like to read today – and read it! The India Uncut Blog © 2010 Amit Varma. All rights reserved. Follow me on Twitter. Full Article
ter Incisive Metrics Center User Guide By feedproxy.google.com Published On :: Tue, 11 Feb 2020 02:26:56 GMT Hi Team, I would like to download "Incisive Metrics Center User Guide", I could not find in the cadence/support/manuals. Can you please provide me the link or path to download the same ? I am doing functional coverage with IMC. Thank You, Mahesh Full Article
ter IC Packagers: Time-Saving Alternatives to Show Element By feedproxy.google.com Published On :: Tue, 14 Apr 2020 15:04:00 GMT In the Allegro back-end layout products like Allegro Package Designer Plus, it would be reasonable to assume that the most often used command is none other than “show element” (shortcut key F4). This command, runnable at nearly any t...(read more) Full Article Allegro Package Designer Allegro PCB Editor
ter Why the Autorouter use Via to connect GND and VCC pins to Shape Plane By feedproxy.google.com Published On :: Mon, 27 Apr 2020 17:33:29 GMT Here are two screen capture of Before and After Autorouting my board. Padstacks have all been revised and corrected. The Capture Schematic is correct. All Footprints have been verified after Padstack revision. a new NETLIST generation have been done after some corrections made in Capture. I have imported the new Logic. I revised my Layout Cross Section as such: TOP, GND, VCC, BOTTOM. Both VCC and GND shapes have been assigned to their respective logical GND and VCC Nets (verified). Yet, I still have the Autorouter to systematically use extra vias to make GND and VCC connections to the VCC and GND planes. Where a simple utilisation of the part padstack inner layer would have been indicated. What Im I missing ? Full Article
ter Why a new Package update generate DRC error after waiving ? By feedproxy.google.com Published On :: Thu, 30 Apr 2020 20:36:10 GMT I've redesigned a custom TO220FLAT Package First I created a TO220shape.ssm with PCB Editor. Then I created a surface mount T220build.pad in Padstack Editor using TO220shape.ssm. Then I created a TO220FLAT.psm in PCB Editor. I placed 3 Connect pins and 9 Mechanical pins for the TO220 TAB, using standard through-hole pads for better current handling. Adding those Mechanical pins created many DRC errors caused by the proximity of those pads attached to the TO220shape. Thru Pin to SMD Pin Spacing (-200.0 0.0) 5 MIL OVERLAP DEFAULT NET SPACING CONSTRAINTS Mechanical Pin "Pad50sq30d" Pin "T220build, 2" I corrected the situation (so I though) by Waiving those DRC errors, thinking that they could not cause any problem and because that’s what I want, i.e.: 9 through-holes under the TO220 device. The idea being that when this device is mounted flat on the PCB it could carry lots of current via 9 pads that could make a good high current conductor to inner layers. I then saved the Package and updated all related footprint schematic parts in Capture. Created a new Netlist. Then I imported the new logic into PCB Editor to reflect that change. When the File > Import > Logic is finished I get no feedback error! (which, for me is a substantial achievement in itself) Now, in the Design Window I see all those DRC errors popping up again, despite the fact that I waived those DRCs back in the Padstack edition. If I run a Design Rule Check (DRC) Report I will see all those DRC listed again. Now, I understand that I can go ahead and waive all those DRCs (100 in total) but I’m thinking there is got to be a better way of doing this. Please, any advise is welcome. Thanks Full Article
ter OrCAD PCB Designer Pro w/ PSpice, Design Object Find Filter Greyed Out By feedproxy.google.com Published On :: Mon, 04 May 2020 20:25:24 GMT Hello All, I'm currently using OrCAD PCB Designer Professional w/ PSpice (version 16.6-2015). In the 'Design Object Find Filter' side bar, all options are grayed out and unselectable. I did attempt to 'Reset UI to Cadence Default' without any luck. A colleague has no issues with the identical file on his computer. Any guidance would be much appreciated. Thanks! George Full Article
ter Register Classes for SystemVerilog OVM By feedproxy.google.com Published On :: Tue, 09 Sep 2008 23:20:24 GMT Hi, I am uploading a register class, which can be used for modeling hardware registers. I am uploading the source code and examples on how to run it. I also have a user guide which has all the APIs listed and explained. The user guide is ARV.pdf in the attached tar file. I have named the class ARV, which stands for Architect's Register View. It has got very good randomization and coverage features. Users have told me that its better than RAL. You can download it from http://verisilica.info/ARV.php. There is a limit of 750KB in this cadence website. The ARV file is 4MB. That is why, I am uploading it at this site. I have a big pdf documentation and a doxygen documentation there. That is the reason for the bigger file size. The password to open the ZIP file is ovm_arv. I hope, everyone will use these classes. Please contact me for any help. Regards ANil Full Article
ter vr_ad register definition utility By feedproxy.google.com Published On :: Tue, 13 Jan 2009 06:55:41 GMT Hi All.I put together a small Perl script to generate vr_ad register definitions from SPIRIT (IP-XACT) XML.If you've got not idea what IP-XACT is, have a look here http://www.spiritconsortium.org/, then start pestering your design manager to use it :-)The script can filter out registers and override R/W access types if needed.An example XML file is included with the package so that you can play with it, and there's a detailed README.txt as well.Here's an example of the generated e code:// Automatically generated from xdmac.xml// DO NOT EDIT, or your changes may be lost<'import vr_ad/e/vr_ad_top;// Component = XDMAC// memoryMap = xdmacextend vr_ad_map_kind : [XDMAC];// addressBlock = dma_ethextend vr_ad_reg_file_kind : [DMA_ETH];extend DMA_ETH vr_ad_reg_file { keep size == 20; keep addressing_width_in_bytes == 4;};// Register = command// Reset = 0x00reg_def COMMAND DMA_ETH 0x0 { // Field resv3 = command[31:29] reg_fld resv3 : uint(bits:3) : R : 0 : cov ; // Field transfer_size = command[28:19] reg_fld transfer_size : uint(bits:10) : RW : 0 : cov ; // Field dma_transfer_target = command[18:14] reg_fld dma_transfer_target : uint(bits:5) : RW : 0 : cov ; // Field resv2 = command[13:10] reg_fld resv2 : uint(bits:4) : R : 0 : cov ; // Field transmit_receive = command[9:9] reg_fld transmit_receive : uint(bits:1) : RW : 0 : cov ; // Field resv1 = command[8:5] reg_fld resv1 : uint(bits:4) : R : 0 : cov ; // Field dest_address_enable = command[4:4] reg_fld dest_address_enable : uint(bits:1) : RW : 0 : cov ; // Field source_address_enable = command[3:3] reg_fld source_address_enable : uint(bits:1) : RW : 0 : cov ; // Field word_size = command[2:0] reg_fld word_size : uint(bits:3) : R : 0 : cov ;};// Register = queue_exec// Reset = 0x00reg_def QUEUE_EXEC DMA_ETH 0x10 { // Field resv = queue_exec[31:1] reg_fld resv : uint(bits:31) : R : 0 : cov ; // Field exec = queue_exec[0:0] reg_fld exec : uint(bits:1) : RW : 0 : cov ;};extend XDMAC vr_ad_map { dma_eth : DMA_ETH vr_ad_reg_file; post_generate() is also { add_with_offset(0x00, dma_eth); dma_eth.reset(); };}'> Any comments, please feed them back to me so I can enhance the script. Note that this forum forces me to post a .zip file rather than .tgz, please be careful to unpack the file under Linux, not Windows, else the DOS linefeeds will corrupt the Perl and XML files. Steve Full Article
ter Sudoku solver using Incisive Enterprise Verifier (IEV) and Assertion-Driven Simulation (ADS) By feedproxy.google.com Published On :: Tue, 13 Dec 2011 17:29:21 GMT Just in time for the holidays, inside the posted tar ball is some code to solve 9x9 Sudoku puzzles with the Assertion-Driven Simulation (ADS) capability of Incisive Enterprise Verifier (IEV). Enjoy! Joerg Mueller Solutions Engineer for Team Verify Full Article
ter Kitchen Design Manchester By feedproxy.google.com Published On :: Tue, 30 Jul 2013 13:09:55 GMT Try looking at www.solidwoodkitchen.co.uk. They have some amazing designs and prices. Kitchen Design Manchester Full Article
ter Wrong Constraint Values in Sequential Cell Characterization By feedproxy.google.com Published On :: Fri, 01 May 2020 12:33:48 GMT Hi, I am trying to characterize a D flip-flop for low voltage operation (0.6V) using Cadence Liberate (V16). This is a positive edge triggered D flip flop based on true-single-phase clocking scheme. After the characterization, the measurements reported for hold constraint arcs seem to deviate significantly from its (spectre) spice simulation. The constraint and the power settings to the liberate are as follows : # -------------------------------------------- Timing Constraints --------------------------------------------------------------------------------### Input waveform ###set_var predriver_waveform 2;# 2=use pre-driver waveform### Capacitance ###set_var min_capacitance_for_outputs 1;# write min_capacitance attribute for output pins### Timing ###set_var force_condition 4### Constraint ###set_var constraint_info 2#set_var constraint_search_time_abstol 1e-12 ;# 1ps resolution for bisection searchset_var nochange_mode 1 ;# enable nochange_* constraint characterization### min_pulse_width ###set_var conditional_mpw 0 set_var constraint_combinational 2 #---------------------------------------------- CCS Settings ----------------------------------------------------------------------------------------set_var ccsn_include_passgate_attr 1set_var ccsn_model_related_node_attr 1set_var write_library_is_unbuffered 1 set_var ccsp_min_pts 15 ;# CCSP accuracyset_var ccsp_rel_tol 0.01 ;# CCSP accuracyset_var ccsp_table_reduction 0 ;# CCSP accuracyset_var ccsp_tail_tol 0.02 ;# CCSP accuracyset_var ccsp_related_pin_mode 2 ;# use 3 for multiple input switching scnarios and Voltus only libraries #----------------------------------------------- Power ---------------------------------------------------------------------------------------------------### Leakage ###set_var max_leakage_vector [expr 2**10]set_var leakage_float_internal_supply 0 ;# get worst case leakage for power switch cells when offset_var reset_negative_leakage_power 1 ;# convert negative leakage current to 0 ### Power ###set_var voltage_map 1 ;# create pg_pin groups, related_power_pin / related_ground_pinset_var pin_based_power 0 ;# 0=based on VDD only; 1=power based on VDD and VSS (default); set_var power_combinational_include_output 0 ;# do not include output pins in when conditions for combinational cells set_var force_default_group 1set_default_group -criteria {power avg} ;# use average for default power group #set_var power_subtract_leakage 4 ;# use 4 for cells with exhaustive leakage states.set_var subtract_hidden_power 2 ;# 1=subtract hidden power for all cellsset_var subtract_hidden_power_use_default 3 ;# 3=subtract hidden power from matched when condition then default groupset_var power_multi_output_binning_mode 1 ;# binning for multi-output cell considered for both timing and power arcsset_var power_minimize_switching 1set_var max_hidden_vector [expr 2**10]#-------------------------------------------------------------------------------------------------------------------------------------------------------------- I specifically used set_var constraint_combinational 2 in the settings, in case the Bisection pass/fail mode fails to capture the constraints. In my spice simulation, the hold_rise (D=1, CLK=R, Q=R) arc at-least requires ~250 ps for minimum CLK/D slew combination (for the by default smallest capacitive load as per Liberate) while Liberate reports only ~30 ps. The define_cell template to this flip flop is pretty generic, which does not have any user specified arcs. So which settings most likely affecting the constraint measurements in Liberate and how can I debug this issue ? Thanks Anuradha Full Article
ter Importing a capacitor interactive model from manufacturer By feedproxy.google.com Published On :: Mon, 04 May 2020 08:51:16 GMT Hello, I am trying to import (in spectre) an spice model of a ceramic capacitor manufactured by Samsung EM. The link that includes the model is here :- http://weblib.samsungsem.com/mlcc/mlcc-ec.do?partNumber=CL05A156MR6NWR They proved static spice model and interactive spice model. I had no problem while including the static model. However, the interactive model which models voltage and temperature coefficients seems to not be an ordinary spice model. They provide HSPICE, LTSPICE, and PSPICE model files and I failed to include any of them. Any suggestions ? Full Article
ter Delay Degradation vs Glitch Peak Criteria for Constraint Measurement in Cadence Liberate By feedproxy.google.com Published On :: Wed, 06 May 2020 11:41:27 GMT Hi, This question is related to the constraint measurement criteria used by the Liberate inside view. I am trying to characterize a specific D flip-flop for low voltage operation (0.6V) using Cadence Liberate (V16). When the "define_arcs" are not explicitly specified in the settings for the circuit (but the input/outputs are indeed correct in define_cell), the inside view seems to probe an internal node (i.e. master latch output) for constraint measurements instead of the Q output of the flip flop. So to force the tool to probe Q output I added following coder in constraint arcs : # constraint arcs from CK => D define_arc -type hold -vector {RRx} -related_pin CP -pin D -probe Q DFFXXX define_arc -type hold -vector {RFx} -related_pin CP -pin D -probe Q DFFXXX define_arc -type setup -vector {RRx} -related_pin CP -pin D -probe Q DFFXXX define_arc -type setup -vector {RFx} -related_pin CP -pin D -probe Q DFFXXX with -probe Q liberate identifies Q as the output, but uses Glitch-Peak criteria instead of delay degradation method. So what could be the exact reason for this unintended behavior ? In my external (spectre) spice simulation, the Flip-Flop works well and it does not show any issues in the output delay degradation when the input sweeps. Thanks Anuradha Full Article
ter Is there a simple way of converting a schematic to an s-parameter model? By feedproxy.google.com Published On :: Fri, 08 May 2020 20:06:07 GMT Before I ask this, I am aware that I can output an s-parameter file from an SP analysis. I'm wondering if there is a simple way of creating an s-parameter model of a component. As an example, if I have an S-parameter model that has 200 ports and 150 of those ports are to be connected to passive components and the remaining 50 ports are to be connected to active components, I can simplify the model by connecting the 150 passive components, running an SP analysis, and generating a 50 port S-parameter file. The problem is that this is cumbersome. You've got to wire up 50 PORT components and then after generating the s50p file, create a new cellview with an nport component and connect the 50 ports with 50 new pins. Wiring up all of those port components takes quite a lot of time to do, especially as the "choosing analyses" form adds arrays in reverse (e.g. if you click on an array of PORT components called X<0:2> it will add X<2>, X<1>, X<0> instead of in ascending order) so you have to add all of them to the analyses form manually. Is any way of taking a schematic and running some magic "generate S-Parameter cellview from schematic cellview" function that automates the whole process? Full Article
ter Library Characterization Tidbits: Over the Clouds and Beyond with Arm-Based Graviton and Cadence Liberate Trio By feedproxy.google.com Published On :: Fri, 21 Feb 2020 18:00:00 GMT Cadence Liberate Trio Characterization Suite, ARM-based Graviton Processors, and Amazon Web Services (AWS) Cloud have joined forces to cater to the High-Performance Computing, Machine Learning/Artificial Intelligence, and Big Data Analytics sectors. (read more) Full Article Liberate Trio Characterization Unified Flow Variation Modeling artificial intelligence ARM-based Graviton Processors liberate blog Amazon Web Services Multi-PVT Liberate LV Liberate Variety machine learning aws PVT corners Liberate Liberate Characterization Portfolio TSMC OPI Ecosystem Forum 2019
ter Library Characterization Tidbits: Exploring Intuitive Means to Characterize Large Mixed-Signal Blocks By feedproxy.google.com Published On :: Fri, 06 Mar 2020 16:41:00 GMT Let’s review a key characteristic feature of Cadence Liberate AMS Mixed-Signal Characterization that offers to you ease of use along with many other benefits like automation of standard Liberty model creation and improvement of up to 20X throughput.(read more) Full Article Liberate AMS video library generation pin capacitance Mixed-Signal library characterization shell libraries Liberate Characterization Portfolio Liberty Virtuoso ADE Explorer Virtuoso ADE Assembler
ter Library Characterization Tidbits: Validating Libraries Effectively By feedproxy.google.com Published On :: Mon, 23 Mar 2020 18:30:00 GMT In this blog, I will brief you about two very useful Rapid Adoption Kits (RAKs) for Liberate LV Library Validation.(read more) Full Article Liberate LV timing validation Digital Implementation interpolation error library validation RAKs
ter Library Characterization Tidbits: Rewind and Replay By feedproxy.google.com Published On :: Thu, 16 Apr 2020 16:36:00 GMT A recap of the blogs published in the Library Characterization Tidbits blog series.(read more) Full Article Liberate AMS Liberate LV RAK Liberate Variety library characterization Application Notes Liberate MX training bytes Library Characterization Tidbit Liberate Characterization Portfolio
ter Library Characterization Tidbits: Recharacterize What Matters - Save Time! By feedproxy.google.com Published On :: Thu, 30 Apr 2020 14:50:00 GMT Read how the Cadence Liberate Characterization solution effectively enables you to characterize only the failed or new arcs of a standard cell.(read more) Full Article tidbits Standard Cell library characterization Application Notes missing arcs Library Characterization Tidbit Digital Implementation ldb failed arcs Characterization Solution Liberate Liberate Characterization Portfolio
ter Mixing It Up in Hardware (an Advantest Case Study in Faster Full-Chip Simulations) By feedproxy.google.com Published On :: Wed, 19 Nov 2014 18:27:00 GMT Key Findings: Advantest, in mixed-signal SoC design, sees 50X speedup, 25 day test reduced to 12 hours, dramatic test coverage increase. Trolling through the CDNLive archives, I discovered another gem. At the May 2013 CDNLive in Munich, Thomas Henkel and Henriette Ossoinig of Advantest presented a paper titled “Timing-accurate emulation of a mixed-signal SoC using Palladium XP”. Advantest makes advanced electronics test equipment. Among the semiconductor designs they create for these products is a test processor chip with over 100 million logic transistors, but also with lots of analog functions.They set out to find a way to speed up their full-chip simulations to a point where they could run the system software. To do that, they needed about a 50X speed-up. Well, they did it! Figure 1: Advantest SoC Test Products To skip the commentary, read Advantest's paper here. Problem Statement Software is becoming a bigger part of just about every hardware product in every market today, and that includes the semiconductor test market. To achieve high product quality in the shortest amount of time, the hardware and software components need to be verified together as early in the design cycle as possible. However, the throughput of a typical software RTL simulation is not sufficient to run significant amounts of software on a design with hundreds of millions of transistors. Executing software on RTL models of the hardware means long runs (“deep cycles”) that are a great fit for an emulator, but the mixed-signal content posed a new type of challenge for the Advantest team. Emulators are designed to run digital logic. Analog is really outside of the expected use model. The Advantest team examined the pros and cons of various co-simulation and acceleration flows intended for mixed signal and did not feel that they could possibly get the performance they needed to have practical runtimes with software testbenches. They became determined to find a way to apply their Palladium XP platform to the problem. Armed with the knowledge of the essential relationship between the analog operations and the logic and software operations, the team was able to craft models of the analog blocks using reduction techniques that accurately depicted the essence of the analog function required for hardware-software verification without the expense of a continuous time simulation engine. The requirements boiled down to the following: • Generation of digital signals with highly accurate and flexible timing • Complete chip needs to run on Palladium XP platform • Create high-resolution timing (100fs) with reasonable emulation performance, i.e. at least 50X faster than simulation on the fastest workstations Solution Idea The solution approach chosen was to simplify the functional model of the analog elements of the design down to generation of digital signal edges with high timing accuracy. The solution employed a fixed-frequency central clock that was used as a reference.Timing-critical analog signals used to produce accurately placed digital outputs were encoded into multi-bit representations that modeled the transition and timing behavior. A cell library was created that took the encoded signals and converted them to desired “regular signals”. Automation was added to the process by changing the netlisting to widen the analog signals according to user-specified schematic annotations. All of this was done in a fashion that is compatible with debugging in Cadence’s Simvision tool. Details on all of these facets to follow. The Timing Description Unit (TDU) Format The innovative thinking that enabled the use of Palladium XP was the idea of combining a reference clock and quantized signal encoding to create offsets from the reference. The implementation of these ideas was done in a general manner so that different bit widths could easily be used to control the quantization accuracy. Figure 2: Quantization method using signal encoding Timed Cell Modeling You might be thinking – timing and emulation, together..!? Yes, and here’s a method to do it…. The engineering work in realizing the TDU idea involved the creation of a library of cells that could be used to compose the functions that convert the encoded signal into the “real signals” (timing-accurate digital output signals). Beyond some basic logic cells (e.g., INV, AND, OR, MUX, DFF, TFF, LATCH), some special cells such as window-latch, phase-detect, vernier-delay-line, and clock-generator were created. The converter functions were all composed from these basic cells. This approach ensured an easy path from design into emulation. The solution was made parameterizable to handle varying needs for accuracy. Single bit inputs need to be translated into transitions at offset zero or a high or low coding depending on the previous state. Single bit outputs deliver the final state of the high-resolution output either at time zero, the next falling, or the next rising edge of the grid clock, selectable by parameter. Output transitions can optionally be filtered to conform to a configurable minimum pulse width. Timed Cell Structure There are four critical elements to the design of the conversion function blocks (time cells): Input conditioning – convert to zero-offset, optional glitch preservation, and multi-cycle path Transition sorting – sort transitions according to timing offset and specified precedence Function – for each input transition, create appropriate output transition Output filtering – Capability to optionally remove multiple transitions, zero-width, pulses, etc. Timed Cell Caveat All of the cells are combinational and deliver a result in the same cycle of an input transition. This holds for storage elements as well. For example a DFF will have a feedback to hold its state. Because feedback creates combinational loops, the loops need a designation to be broken (using a brk input conditioning function in this case – more on this later). This creates an additional requirement for flip-flop clock signals to be restricted to two edges per reference clock cycle. Note that without minimum width filtering, the number of output transitions of logic gates is the sum of all input transitions (potentially lots of switching activity). Also note that the delay cell has the effect of doubling the number of output transitions per input transition. Figure 3: Edge doubling will increase switching during execution SimVision Debug Support The debug process was set up to revolve around VCD file processing and directed and viewed within the SimVision debug tool. In order to understand what is going on from a functional standpoint, the raw simulation output processes the encoded signals so that they appear as high-precision timing signals in the waveform viewer. The flow is shown in the figure below. Figure 4: Waveform post-processing flow The result is the flow is a functional debug view that includes association across representations of the design and testbench, including those high-precision timing signals. Figure 5: Simvision debug window setup Overview of the Design Under Verification (DUV) Verification has to prove that analog design works correctly together with the digital part. The critical elements to verify include: • Programmable delay lines move data edges with sub-ps resolution • PLL generates clocks with wide range of programmable frequency • High-speed data stream at output of analog is correct These goals can be achieved only if parts of the analog design are represented with fine resolution timing. Figure 6: Mixed-signal design partitioning for verification How to Get to a Verilog Model of the Analog Design There was an existing Verilog cell library with basic building blocks that included: - Gates, flip-flops, muxes, latches - Behavioral models of programmable delay elements, PLL, loop filter, phase detector With a traditional simulation approach, a cell-based netlist of the analog schematic is created. This netlist is integrated with the Verilog description of the digital design and can be simulated with a normal workstation. To use Palladium simulation, the (non-synthesizable) portions of the analog design that require fine resolution timing have to be replaced by digital timing representation. This modeling task is completed by using a combination of the existing Verilog cell library and the newly developed timed cells. Loop Breaking One of the chief characteristics of the timed cells is that they contain only combinational cells that propagate logic from inputs to outputs. Any feedback from a cell’s transitive fanout back to an input creates a combinational loop that must be broken to reach a steady state. Although the Palladium XP loop breaking algorithm works correctly, the timed cells provided a unique challenge that led to unpredictable results. Thus, a process was developed to ensure predictable loop breaking behavior. The user input to the process was to provide a property at the loop origin that the netlister recognized and translated to the appropriate loop breaking directives. Augmented Netlisting Ease of use and flow automation were two primary considerations in creating a solution that could be deployed more broadly. That made creating a one-step netlisting process a high-value item. The signal point annotation and automatic hierarchy expansion of the “digital timing” parameter helped achieve that goal. The netlister was enriched to identify the key schematic annotations at any point in the hierarchy, including bit and bus signals. Consistency checking and annotation reporting created a log useful in debugging and evolving the solution. Wrapper Cell Modeling and Verification The netlister generates a list of schematic instances at the designated “netlister stop level” for each instance the requires a Verilog model with fine resolution timing. For the design in this paper there were 160 such instances. The library of timed cells was created; these cells were actually “wrapper” cells comprised of the primitives for timed cell modeling described above. A new verification flow was created that used the behavior of the primitive cells as a reference for the expected behavior of the composed cells. The testing of the composed cells included had the timing width parameter set to 1 to enable direct comparison to the primitive cells. The Cadence Incisive Enterprise Simullator tool was successfully employed to perform assertion-based verification of the composed cells versus the existing primitive cells. Mapping and Long Paths Initial experiments showed that inclusion of the fine resolution timed cells into the digital emulation environment would about double the required capacity per run. As previously pointed out, the timed cells having only combinational forward paths creates a loop issue. This fact also had the result of creating some such paths that were more than 5,000 steps of logic. A timed cell optimization process helped to solve this problem. The basic idea was to break the path up by adding flip-flops in strategic locations to reduce combinational path length. The reason that this is important is that the maximum achievable emulation speed is related to combinational path length. Results Once the flow was in place, and some realistic test cases were run through it, some further performance tuning opportunities were discovered to additionally reduce runtimes (e.g., Palladium XP tbrun mode was used to gain speed). The reference used for overall speed gains on this solution was versus a purely software-based solution on the highest performance workstation available. The findings of the performance comparison were startlingly good: • On Palladium XP, the simulation speed is 50X faster than on Advantest’s fastest workstation • Software simulation running 25 days can now be run in 12 hours -> realistic runtime enables long-running tests that were not feasible before • Now have 500 tests that execute once in more than 48 hours • They can be run much more frequently using randomization and this will increase test coverage dramatically Steve Carlson Full Article Advantest Palladium Mixed Signal Verification Emulation mixed signal
ter Virtuosity: Can You Build Lego Masterpieces with All Blocks of One Size? By community.cadence.com Published On :: Thu, 30 Apr 2020 14:41:00 GMT The way you need blocks of different sizes and styles to build great Lego masterpieces, a complex WSP-based design requires stitching together routing regions with multiple patterns that follow different WSSPDef periods. Let's see how you can achieve this. (read more) Full Article ICADVM18.1 cadence WSP Advanced Node Local regions Layout Suite width spacing patterns Layout Virtuoso Virtuosity usability Custom IC ux WSSPDef
ter મંગળસૂત્રથી જરૂરી માસ્કઃ Twitter પર છવાઈ નવદંપતીની આ સુંદર તસવીર By gujarati.news18.com Published On :: Wednesday, April 29, 2020 08:24 AM લૉકડાઉનમાં લગ્નઃ સોશિયલ ડિસ્ટન્સિંગનું પાલન કરીને દુલ્હાને દુલ્હનને મંગળસૂત્ર નહીં પરંતુ માસ્ક પહેરાવ્યો Full Article
ter Crime Branch: મહુવા ફેરવાયું પોલીસ છાવણીમાં, Internet સેવા કરાઇ બંધ By gujarati.news18.com Published On :: Friday, October 26, 2018 04:21 PM Crime Branch: મહુવા ફેરવાયું પોલીસ છાવણીમાં, Internet સેવા કરાઇ બંધ Full Article
ter National International News in Bengali by News18 Bengali By bengali.news18.com Published On :: Full Article