k BoardSurfers: Managing Silkscreen Data Using Allegro 3D Canvas By community.cadence.com Published On :: Wed, 24 Aug 2022 13:30:00 GMT The silkscreen layer plays a crucial role in the assembly, repair, and testing of a PCB. You can add a variety of information to this layer, such as the location of the components, polarity, component orientation, on-off switches, LEDs, and testpoint...(read more) Full Article 17.4 BoardSurfers 3D Canvas 17.4-2019 Allegro PCB Editor silkscreen Allegro
k Quickchat Video Interview: Introducing Cadence Optimality and OnCloud for Systems Analysis and Signoff By community.cadence.com Published On :: Tue, 30 Aug 2022 15:05:00 GMT Microwaves & RF's David Maliniak interviews Sherry Hess of Cadence about recently announced products of Optimality and OnCloud.(read more) Full Article SaaS in-design analysis optimization multiphysics
k EMX - Localised back etching By community.cadence.com Published On :: Wed, 07 Feb 2024 10:40:26 GMT Do you know if it is possible to define localized back etching (LBE) in EMX? It should be associated to a layer which defines the holes done in the substrate. I've not found any reference for this in the .proc syntax. --> Answer found. This is not possible because EMX considers the same dielectric in all x-y plane Full Article
k VAR("") does not work within some expressions By community.cadence.com Published On :: Mon, 22 Apr 2024 20:47:33 GMT Hi, My Virtuoso and Spectre Version: ICADVM20.1-64b.NYISR30.2 I have an expression where the EvalType is "sweeps". Here is the expression (I also attached the snapshot): (peakToPeak(leafValue(swapSweep(delay(?wf1 clip((VT("/clk0") - VT("/clk180")) (VAR("mt_stop") - (4.0 / VAR("datarate"))) VAR("mt_stop")) ?value1 0 ?edge1 "rising" ?nth1 1 ?td1 0 ?tol1 nil ?wf2 clip((VT("/tx_padp") - VT("/tx_padn")) (VAR("mt_stop") - (4.0 / VAR("datarate"))) VAR("mt_stop")) ?value2 0 ?edge2 "rising" ?nth2 1 ?tol2 nil ?td2 nil ?stop nil ?multiple nil) "VDD_FIXED_NOISE") "VREGLN_cmode" 0.85 "VREGDRV_novn" 0.4 "datarate" 1.658e+10) ?overall t) / 10.0) What this expression does is that it compares the delay between the output data with respect to a reference clock. I then get this information for two conditions (VDD_FIXED_NOISE = 0 or 10mV) to get the effect of the supply-induced jitter. In the expression, I need to give the value of each parameter in different modes to distinguish them from each other. Now I want to sweep the base supply values and see the supply variation effects. For example, I want to change VREGLN_cmode from 0.85 to 0.81 and see how my supply-induced jitter changes. For that, the hard way is to copy the expression and change that value accordingly (e.g. "VREGLN_cmode" 0.81). I'm looking for an easier way to use a variable in the expression. Something like VAR("VREGLN_Sweep"). But I see it doesn't work in my expression and it gives an eVal error. I tested this before in other expressions (not sweep type) and it always worked. I have only one test and these variables are all Design Variables and not Global variables.I want to know what mistake am I doing here and is there a way to make this work. Sorry that if I could not explain better my inquiry. Thank you. Full Article
k PSS Shooting - High Q crystal oscillator - Simulator by mistake detects a frequency divider By community.cadence.com Published On :: Wed, 07 Aug 2024 12:58:28 GMT Hi *, I am simulating a 32kHz high Q crystal oscillator with a pulse shaping circuit. I set up a PSS analysis using the Shooting Newton engine. I set a beat frequency of 32k and used the crystal output and ground as reference nodes. After the initial transient the amplitude growth was already pretty much settled such that the shooting iterations could continue the job. My problem is: In 5...10% of my PVT runs the simulator detects a frequency divider in the initial transient simulation. The output log says: Frequency divided by 3 at node <xxx> The Estimated oscillating frequency from Tstab Tran is = 11.0193 kHz . However, the mentioned node is only part of the control logic and is always constant (but it has some ripples and glitches which are all less than 30uV). These glitches spoil my fundamental frequency (11kHz instead of 32kHz). Sometimes the simulator detects a frequency division by 2 or 3 and the mentioned node <xxx> is different depending on PVT - but the node is always a genuine high or low signal inside my control logic. How can I tell the simulator that there is no frequency divider and it should only observe the given node pair in the PSS analysis setup to estimate the fundamental frequency? I have tried the following workarounds but none of them worked reliably: - extended/reduced the initial transient simulation time - decreased accuracy - preset override with Euler integration method for the initial transient to damp glitches - tried different initial conditions - specified various oscillator nodes in the analysis setup form By the way, I am using Spectre X (version 21.1.0.389.ISR8) with CX accuracy. Thanks for your support and best regards Stephan Full Article
k Knowledge Booster Training Bytes - What Is a Parameterized Cell and What Are the Advantages By community.cadence.com Published On :: Wed, 06 Jul 2022 15:31:00 GMT Che(read more) Full Article Relative Object Design PCells Virtuoso Video Diary Custom IC Design Virtuoso Layout Suite SKILL
k Knowledge Booster Training Bytes - Virtuoso Visualization and Analysis XL By community.cadence.com Published On :: Wed, 10 Aug 2022 07:13:00 GMT This blog describes how to efficiently use Virtuoso Visualization and Analysis XL.(read more) Full Article blended blended training ADE Explorer Virtuoso Visualization and Analysis XL learning training knowledge resource kit Cadence training digital badges training bytes Virtuoso Cadence certified Virtuoso Video Diary Cadence Learning and Support portal Custom IC Design online training Custom IC ADE Assembler
k Virtuosity: Synergize with CLE - Work Concurrently Across Geographies By community.cadence.com Published On :: Mon, 29 Aug 2022 12:24:00 GMT Concurrent Layout Editing enables more than one designer to work in a hierarchy at the same time. Check out this blog to know more. (read more) Full Article concurrent layout editing Virtuoso Virtuosity CLE ICADVM20.1 Synergize with CLE
k Knowledge Booster Training Bytes - Virtuoso Pin-To-Trunk Routing By community.cadence.com Published On :: Wed, 28 Sep 2022 08:40:00 GMT This blog helps in demonstrating the use of Pin to trunk routing style which helps in enhancing the layout experience.(read more) Full Article custom/analog Virtuoso Space-based Router VSR cadence Routing Automated Device-Level Placement and Routing Rapid Adoption Kit analog training Layout Suite Cadence training digital badges Layout Virtuoso cadenceblogs ICADVM20.1 Cadence Education Services Custom IC Design online training RAKs Virtuoso Layout Suite Custom IC IC6.1.8 Virtuoso Layout Suite XL
k Test point creation workflow recommendations? By community.cadence.com Published On :: Mon, 28 Oct 2024 12:08:17 GMT I am trying to figure out the most efficient workflow for adding test points. My use case involves adding ~100 or so SMT pads at the bottom for bed-of-nails ICT test that are required to be on a test point grid. A lot of the nets are on the top or from inner layers and so have to be brought to the bottom using stubs. I'm used to Xpediiton workflow of being able to set a test point padstack, set a test point grid, and then select a net, add the test point to the bottom layer on the grid with that net attached and then route the stub with gridless routing. In Orcad, it seems I need to route the stub, switch layer pairs to be both bottom once I bring the stub to the bottom and then change the grid to be the test point grid and then add the test point on the grid. It requires a lot of clicks, very mistake prone requiring lots of oops and very slow for 100+ test points to be brought out at the bottom. I'm sure there is a better way that is used by folks with a lot of Orcad experience. Any suggestions? Full Article
k Test Your Know How : Allegro in Design Analysis By community.cadence.com Published On :: Thu, 31 Oct 2024 16:05:38 GMT Which Analysis is Being Performed by Allegro in this Image? A. Impedance B. Coupling C. Crosstalk D. Return Path E. Reflection Simply answer by letter or include any reason to support your answer... Full Article
k Copy cline to solder mask layer By community.cadence.com Published On :: Fri, 01 Nov 2024 14:52:37 GMT I want to make an opening in the solder mask right above a trace that is acting like a guard ring. Do I really need to go and buy the Allegro Productivity Toolbox add-on for using the Cross-Copy tool for a basic operation like that?? /F Full Article
k Update Package_Height_Max from Orcad Capture By community.cadence.com Published On :: Wed, 06 Nov 2024 16:05:50 GMT I am using OrCAD PCB Designer Standard version 17.4-2019. I want to force update the Package_Height_Max property on the place bound top shape. The footprint library that we've created has that property set in the dra file, but I'd like to override that from capture so I can be certain that the height is correct. This is coming from a place where we have created a very large footprint library over that past ++ years. Everyone who creates a new footprint is supposed to make sure that we add Package_Height_Max to the footprint, but of course footprints get reused for various parts, not all of which will have the same package height. What I want to do is export a list of package heights from our part database and then import the package heights into Capture and override the package height in the footprint. I have found a post here Using Height Property from Orcad Capture which says its not possible, but it also says its from 15 years ago, so maybe things have changed? Full Article
k Loading Footprints keep getting DB Doctor message By community.cadence.com Published On :: Wed, 06 Nov 2024 16:40:03 GMT Loading new netlist into 23.1 Apparently it does not like many of the specified footprints or padstacks. I have to open the footprint in 231., save the pad stack then save the footprint. This is very time consuming and frustrating to say the least. I also get the following message WARNING(SPMHNI-194): Symbol 'SMD_SOD123_ANODE_PIN1' used by RefDes D30 for device 'DIODE_0_SMD_SOD123_ANODE_PIN1_1N4148W-7-F' not found. The symbol either does not exist in the library path (PSMPATH) or is an old symbol from a previous release. Set the correct library path if not set or use dbdo The current version of software is unable to open design smd_sod123_anode_pin1. The design was last saved using version 16.5 and must be updated using DB Doctor. [help]Going to DB Doctor does nothing, no option to update a footprint?Tom Full Article
k Creating Web/Thermal shape for paste mask By community.cadence.com Published On :: Thu, 07 Nov 2024 14:38:16 GMT Any tips or SKIL files to help create a thermal shaped openings for paste masks for a donut shaped pin for mics or stand-offs like below? Full Article
k How to perform the reflection and crosstalk using the OrCAD X Professional By community.cadence.com Published On :: Sun, 10 Nov 2024 14:39:08 GMT Dear Community, I have created a PCB layout with multiple high-speed nets, I want to check the SI like how signals are reflected and taken to each other. I have the OrCAD X Professional, how to check the reflection and crosstalk using the OrCAD X Professional software version 24.1. I want to create a topology flow to the PCB layout and perform the reflection and crosstalk. Regards, Rohit Rohan Full Article
k How to store the workspace designs and projects in local directory By community.cadence.com Published On :: Sun, 10 Nov 2024 14:54:48 GMT Dear Community, In OrCAD X Profession, the workspace feature enables the users to store the libraries (Schematic Symbol, Footprint and PSpice Models) and Designs (Schematic and PCB layout) in the cloud workspace. But storing these libraries and design are stored in servers in the USA, Europe, Asia and Japan Servers. I don't want to store my designs in any of these servers instead I want to create the workspace in my local PC and store all my libraries and designs in the local workspace. Is this possible, if possible then can anyone provide the steps/procedure or videos of how to do it? Regards, Rohit Rohan Full Article
k Socionext Accelerates SoC Design Breakthroughs with Cadence Signoff Tools By community.cadence.com Published On :: Thu, 27 Jun 2024 18:16:00 GMT Socionext, a leader in SoC design, recently made significant strides in enhancing its design efficiency for a complex billion-gate project. Faced with the initial challenges of lengthy eight-day iterations and a protracted two-month timing signoff process, the objective was to reduce the iteration cycle to just three days. By integrating Cadence's cutting-edge solutions—Certus Closure Solution, Tempus Timing Solution, and Quantus Extraction Solution—Socionext achieved remarkable improvements. Notably, the Tempus DSTA tool dramatically cut timing closure time by 73%, outperforming conventional single-machine STA methods. This achievement, combined with the synergistic use of Cadence's Certus Closure and Tempus Timing solutions, allowed Socionext to meet their ambitious three-day iteration target and double productivity. Additionally, integrating these solutions significantly decreased both human and machine resource needs, slashing memory and disk costs by up to 90% and halving engineering resources during the optimization and signoff phases. For more on this collaboration, check out the "Designed with Cadence" success story video on Cadence's website and YouTube channel. Also, don't miss the on-demand webinar "Fast, Accurate STA for Large-Scale Design Challenges," which provides a deeper dive into Socionext's breakthroughs and the innovative solutions that powered their success. Full Article digital design Tempus designed with cadence certus Quantus silicon signoff
k Voltus Voice: Breaking Ground with Voltus InsightAI—Swift Implementation via RAK By community.cadence.com Published On :: Mon, 01 Jul 2024 05:17:00 GMT The blog discusses Voltus InsightAI RAK that is designed to give you an accelerated start on the execution of Voltus InsightAI flow.(read more) Full Article artificial intelligence Silicon Signoff and Verification Voltus IC Power Integrity Solution Innovus Implementation System Generative AI Power Integrity Voltus InsightAI Rapid Adoption Kits
k Unlocking the Concepts of IEEE 1801 Standard for Efficient Power Management By community.cadence.com Published On :: Tue, 10 Sep 2024 05:53:00 GMT Power efficiency is a critical factor in the fast-evolving world of semiconductor design. The IEEE 1801 standard, also known as UPF (Unified Power Format), was developed by the IEEE to address the intricate challenges associated with power management in contemporary semiconductor designs. This standard offers a uniform framework for defining power domains, power states, and power intent, ensuring consistency across diverse tools and phases of the design process. By utilizing UPF, you can precisely model and regulate power consumption, a critical aspect for battery-operated devices, high-performance computing, and energy-efficient designs. The key concepts of IEEE 1801 are: Power domains Power states Power gating and isolation Power switches Level shifters, isolation, and retention cells Macro model Based on these building blocks, you write the power intent of the design. The power intent for the design includes identifying/implementing low-power strategies that provide a clear description of the power architecture of a design. The power definitions can effectively manage power consumption and ensure the chip meets its power and performance requirements. You can start by creating the Power Supply Network, which defines how power is supplied to the design's various power domains and logic cells. What's the next step to build the file? How do you understand the various concepts related to IEEE 1801? How do you complete the rest of the power intent file? Relax! Gear up to attend the training class created just for you to dive deep into the entire format and explore this exciting power specification method/format with hands-on labs in one day! Training Fundamentals of IEEE 1801 Low-Power Specification Format Training This course is a complete tutorial for understanding the fundamentals of IEEE 1801 low-power specification format concepts. You learn about IEEE 1801 power supply networks, ground ports and nets, creating and connecting supply ports/nets, power domain, power switch, power states, defining isolation and level shifter strategies, hierarchical IEEE 1801, and various versions of the IEEE 1801. You also explore how power intent information can be used for a design across various flow stages, such as functional verification, synthesis, logic equivalency checking, place-and-route, test, timing signoff, power integrity, and so forth, using Cadence® tools. Labs We ensure that your learning journey is smooth with hands-on labs covering various design scenarios. Lab Videos Now, the exciting part is that to help you further, we have created engaging videos of the training labs. You can refer to the lab module's instructions in demo format at https://support.cadence.com. Lab Demo: Checking Power Supply Network in IEEE 1801 format and Running IEEE 1801 Quality Checks using Conformal Low Power Lab Demo: Checking Power Intent for The Macro Connections in IEEE 1801 Format And Running IEEE 1801 Quality Checks using Conformal Low Power Online Class Here is the course link. Get ready for the most thrilling experience with Accelerated Learning! The more you know, the faster you go! Grab the cycle or hike it, based on your existing knowledge. Take the quiz and increase your learning pace!! What's Next? Grab your Badge after finishing the training and flaunt the expertise you have built up. 😊 Ready to take a tour of this power specification world? Let's help you enroll in this course. We organize this training for you as a "Blended" or "Live" training. Please reach out to Cadence Training for further information. If you want to ensure you are always the first to know about anything new in training, you can use the SUBSCRIBE button on the landing page to sign up for our regular training newsletters. Related Short Training Bytes/Videos Enhance the learning experience with short videos: Genus Synthesis Solution: Video Library Joules RTL Power Solution: Video Library Related Training Low-Power Synthesis Flow with Genus Synthesis Solution Genus Low-Power Synthesis Flow with IEEE 1801 Related Blogs It's the Digital Era; Why Not Showcase Your Brand Through a Digital Badge! - Digital Design - Cadence Blogs - Cadence Community Relax in Summer with Cooler IC chips and Ice-Cream! Do you want to Explore the Recipe? - Digital Design - Cadence Blogs - Cadence Community Power Is HOT and Touches Everything and Everybody! But the Challenge Is To Deal With Low Power During Design Synthesis; How? - Digital Design - Cadence Blogs - Cadence Community Binge on Chip Design Concepts this Weekend! - Digital Design - Cadence Blogs - Cadence Community Full Article Low Power IEEE 1801 training training bytes UPF Power Analysis
k Artificial Intelligence: Accelerating Knowledge in the Digital Age! By community.cadence.com Published On :: Wed, 09 Oct 2024 07:15:00 GMT In an era of abundant and constantly evolving information, the challenge is not just accessing knowledge but understanding and applying it effectively. AI is a transformative technology that is reshaping how we learn, work, and grow. In this blog, we’ll explore how AI accelerates our knowledge acquisition and understand how it can relate to the process of learning, which connects with our daily lives. The role of AI is to accelerate knowledge by personalizing learning experiences, providing instant access to information, and offering data-driven insights. AI empowers us to learn more efficiently and effectively in many ways. I won't go into much detail, as we are already busy searching for the meaning of AI and what it can do; however, I want to share one inspiring fact about AI. It can analyze vast amounts of data in seconds, making sense of complex information and providing instantaneous actionable insights or concise answers. I understand that humans are looking to speed up things, which can help us understand technology better and perform our tasks faster. The main reason AI is in focus is because of its ability to perform tasks faster than ever. We aim to enhance the performance of all our products, including the everyday household electronic items we use. Similarly, are we striving to accelerate the learning process? I am committed to assisting you, and one such method is concise, short (minute-long) videos. In today's fast-paced world, where attention spans are shorter than ever, the rise of social media platforms has made it easier for anyone to create and share short videos. This is where minute videos come in. These bite-sized clips offer a quick and engaging way to deliver information to the audience with a significant impact. Understanding the definitions of technical terms in VLSI Design can often be accomplished in just a minute. Below are the definitions of the essential stages in the RTL2GDSII Flow. For further reference, these definitions are also accessible on YouTube. What is RTL Coding in VLSI Design? What is Digital Verification? What Is Synthesis in VLSI Design? What Is Logic Equivalence Checking in VLSI Design? What Is DFT in VLSI Design? What is Digital Implementation? What is Power Planning? What are DRC and LVS in Physical Verification? What are On-Chip Variations? Want to Learn More? The Cadence RTL-to-GDSII Flow training is available as both "Blended" and "Live" Please reach out to Cadence Training for further information. And don't forget to obtain your Digital Badge after completing the training! You can check out a free Online Version of the training above, which is available 24/7 for all customers with a Cadence Learning ans Support Portal You will also have access to our Training Byte Library then which is full of hundres of troubleshooting videos, like the following: What is Digital Implementation? You can find more instructions how to get the best out of the Portal in this blog. If you would like to stay up-to-date with the latest news and information about Cadence trainings and webinars, subscribe to the Cadence Training emails. Related Blogs Training Insights – Why Is RTL Translated into Gate-Level Netlist? Did You Miss the RTL-to-GDSII Webinar? No Worries, the Recording Is Available! It’s the Digital Era; Why Not Showcase Your Brand Through a Digital Badge! Binge on Chip Design Concepts this Weekend! Full Article artificial intelligence training youtube videos training bytes Digital Implementation digital full flow RTL2GDSII VLSI Design Cadence support
k A Magical World - The Incredible Clock Tree Wizard to Augment Productivity and QoR! By community.cadence.com Published On :: Mon, 11 Nov 2024 13:00:00 GMT In the era of Artificial Intelligence, front-end designers need a magical key to empower them with technology that enables fully optimized RTL for implementation handoff and provides RTL designers with capabilities to accurately assist in the implementation convergence process. The magic lies with Cadence Joules RTL Design Studio, an expert system that leverages generative AI for RTL design exploration, triages possible causes of violations, and additional insights that empower designers to understand how to address issues in their RTL, leading to smarter and more efficient chip design. This unlocks the immense debugging and design analysis capabilities from a single, unified cockpit, enabling fully optimized RTL design prior to implementation handoff for the front-end designers and addresses all aspects of physical design by adding visibility into power, performance, area, and congestion (PPAC) One critical component is the clock tree, which distributes the clock signal to all sequential elements, such as flip-flops and latches. Designers need the right techniques in the beginning stage to optimize the clock tree structure, ensuring that their designs meet the required timing specifications, reduce power consumption, maintain signal integrity, and increase reliability. This incredible feature is part of the Joules RTL Design Studio. How do you efficiently explore the clock tree structure to optimize the results using Joules RTL Design Studio? Joules Studio allows viewing a simplified version of the clock structure. This feature is primarily designed to help display clock frequency scaling through clock dividers. You can customize colors, symbols, and design elements using an input file. Additionally, you can cross-probe the custom clock tree structure to other widgets and the main schematic view in Joules Studio. Moreover, with the clock tree preference features of the ideal clock tree wizard in Joules Studio GUI, you can highlight clock path, generate clocks and master clock, set case analysis, fold and unfold instances, undo and redo, set sense and disable timing, color preference, etc. You can binge on these features through the channel videos posted on the support portal, which covers the Joules RTL Design Studio GUI Clock Tree Structure and Features of Ideal Clock Tree Wizard. You can refer to the videos on Cadence Online Support (Cadence login required). Video Links: Viewing Custom Clock Tree Structure in Joules RTL Design Studio (Video) Exploring Clock Tree Preference Widget of Ideal Clock Tree Wizard in Joules RTL Design Studio (Video) Want to learn more? Explore the one-stop solution Joules RTL Design Studio Product Page on Cadence Online Support (Cadence login required). Related Resources Related Training Bytes: Understanding Prototype Design Flow in Joules RTL Design Studio (Video) Running Prototype Implementation Flow in Joules RTL Design Studio (Video) Understanding Analyze Timing By Hierarchy In Joules RTL Design Studio (Video) Related Courses: Joules Power Calculator Want to Enroll in this Course? We organize this training for you as a "Blended" or "Live" training. Please reach out to Cadence Training for further information. Please don't forget to obtain your Digital Badge after completing the training. Related Blogs: Let's Discover the Secret to Enhance Design's PPAC in a Single Cockpit! - Digital Design - Cadence Blogs - Cadence Community Joules RTL Design Studio: Accelerating Fully Optimized RTL - Digital Design - Cadence Blogs - Cadence Community Let's Replay the Process of Power Estimation with the Power of 'x'! - Digital Design - Cadence Blogs - Cadence Community Is Design Power Estimation Lowering Your Power? Delegate and Relax! - Digital Design - Cadence Blogs - Cadence Community Full Article performance debug training congestion PPAC training bytes clock tree synthesis area RTL design power
k Fintech Locations of the Future 2019/20: London tops first ranking By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Thu, 15 Aug 2019 12:00:49 +0100 London has been named fDi’s inaugural Fintech Location of the Future for 2019/20, followed by Singapore and Belfast. Full Article
k What makes a successful free zone? By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Thu, 17 Oct 2019 12:00:38 +0100 Dr Samir Hamrouni, CEO of the World Free Zones Organization, outlines the attributes that are essential to flourishing free zones. Full Article
k EBRD president looks to African expansion By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Thu, 12 Dec 2019 12:01:14 +0000 The EU is considering a broader mandate for the EBRD, and its president, Sir Suma Chakrabarti, believes its model would work in sub-Saharan Africa. Full Article
k Kenya Treasury chief ramps up reforms to grow investment By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Tue, 25 Feb 2020 13:24:07 +0000 Kenya’s cabinet secretary for the national treasury and planning, Ukur Yatani, discusses the country’s agenda of fiscal reforms and the importance of constructing an east-west Africa highway. Full Article
k The UK tops Europe renewable energy ranking By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Thu, 16 Apr 2020 13:04:09 +0100 The UK is the Europe's leading destination for foreign investment in green energy, followed by Spain, finds fDi’s Top European Locations for Renewable Energy Investment. Full Article
k UK regions fight for a share of inward investment By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Tue, 25 Feb 2020 13:23:13 +0000 The UK’s prime minister has pledged to rebalance the UK economy away from a dominant London. However, this might require greater incentives for foreign investment in the regions outside of the capital, which are underperforming. Full Article
k FDI screening moves to the fore as protectionism takes hold By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Tue, 25 Feb 2020 13:26:58 +0000 Authorities in the US, the EU and across the developed world are stepping up efforts to scrutinise foreign investment on the grounds of both national security and tech sovereignty. Full Article
k Finance minister seeks to keep Serbia in FDI spotlight By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Thu, 13 Feb 2020 16:40:58 +0000 Serbia’s minister of finance, Siniša Mali, explains why the country is one of Europe's economic stars, and how its FDI levels have risen on the back of this. Full Article
k fDi's European Cities and Regions of the Future 2020/21 - FDI Strategy: London and Glasgow take major prizes By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Mon, 10 Feb 2020 16:22:35 +0000 London is crowned best major city in Europe in fDi's FDI Strategy category, with Glasgow, Vilnius, Reykjavik and Galway also winning out. Full Article
k fDi's European Cities and Regions of the Future 2020/21 - FDI Strategy: North Rhine-Westphalia takes regional crown By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Mon, 10 Feb 2020 16:24:59 +0000 North Rhine-Westphalia is fDi's top large region for FDI Strategy, with the Basque Country topping the table for mid-sized regions and Ireland South East first among small regions. Full Article
k fDi’s European Cities and Regions of the Future 2020/21 - London leads LEP ranking while Oxfordshire makes rapid rise By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Mon, 10 Feb 2020 16:26:07 +0000 London LEP and Thames Valley Berkshire LEP hold on to their respective first and second places in the Local Enterprise Partnership rankings, while Oxfordshire LEP jumps up eight places to third. Full Article
k UK strengthens ties to Africa By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Thu, 20 Feb 2020 11:25:25 +0000 London event hears how the UK export credit agency is increasing its focus on trade with African countries. Jason Mitchell reports. Full Article
k Frankfurt (Oder) looks to get the incentives mix right By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Thu, 17 Oct 2019 12:00:07 +0100 The federal state of Brandenburg is committed to ensuring investors are welcomed into Frankfurt (Oder) through a string of generous incentives. Full Article
k Frankfurt (Oder) looks to attract and retain top talent By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Thu, 17 Oct 2019 12:00:05 +0100 Frankfurt (Oder) is building on the strengths of its university to foster the development of successful start-ups through new co-working spaces and the promotion of sustainable practices and products. Full Article
k Mara's Phones makes African manufacturing a priority By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Thu, 12 Dec 2019 12:01:24 +0000 Having opened new production facilities in Rwanda and South Africa, Mara Phones is looking to alter Africa's mindset from being a 'consumer' to being a 'manufacturer'. Full Article
k Reforms could unlock African development, reports McKinsey By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Mon, 11 Nov 2019 10:34:15 +0000 Continued African development could hinge on public finance reforms. Full Article
k Gothenburg takes proactive stance as global headwinds bite By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Thu, 12 Dec 2019 12:01:21 +0000 Despite its thriving automotive sector, Gothenburg is vulnerable to global economic pressures. However, local authorities are confident that their strategies will see the city ride out the uncertainties related to Brexit and the US-China trade wars. Full Article
k Nokia Bell Labs looks to make maximum impact from minimum sites By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Tue, 25 Feb 2020 13:22:08 +0000 Marcus Weldon, chief technology officer of Nokia and president of its research arm Nokia Bell Labs, talks about what guided the decision to set up a new global R&D centre and the company’s strategy for driving innovation Full Article
k Group effort helps The Fresh Market stay local By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Thu, 16 Apr 2020 13:04:20 +0100 Financial incentives from two different cities persuaded US grocery chain The Fresh Market to stay headquartered in its home state of North Carolina. Full Article
k Bradford ‘most improved UK city for growth’ By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Thu, 12 Dec 2019 12:38:06 +0000 Bradford has been rated as the most improved city by the Good Growth for Cities 2019 index, while Oxford remained the highest performing UK city. Full Article
k FDI into Togo peaks in 2019 By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Tue, 07 Jan 2020 16:58:56 +0000 Togo won a record number of greenfield investment projects last year. Full Article
k Emerging markets predicted to spearhead GDP growth over next decade By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Tue, 14 Jan 2020 11:24:32 +0000 Lower fertility rates will boost economic growth, according to a demographic model developed by Renaissance Capital. Full Article
k Kyiv seeks amusement park investors By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Tue, 14 Jan 2020 10:53:32 +0000 $73.8m mega-project will be the first of its kind in the city. Full Article
k Verisk Maplecroft report predicts civil unrest to continue in 2020 By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Thu, 16 Jan 2020 12:49:05 +0000 Escalation in protests across the globe in 2019 are forecast to persist into the new decade, according to Verisk Maplecroft report. Full Article
k Climate concerns top long-term WEF risks for first time By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Thu, 16 Jan 2020 12:59:12 +0000 Severe threats to the environment accounted for all of the five most likely long-term risks in the WEF’s Global Risks Report 2020. Full Article
k Tokyo world’s most talked about city online By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Mon, 27 Jan 2020 09:03:59 +0000 ING Media names Tokyo, New York, London and Paris as global super brands for digital visibility. Full Article
k CEE ‘key for automotive R&D’ By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Thu, 30 Jan 2020 16:28:39 +0000 Western European carmakers should consider an R&D footprint in CEE, says McKinsey. Full Article
k US tops global soft power ranking By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Thu, 05 Mar 2020 15:49:30 +0000 The US has the world’s strongest soft power, while China and Russia are rising in influence, according to a recent ranking from Brand Finance. Full Article