gin Delaware Celebrates $14.3 Million Climate Grant for I-95 Charging Infrastructure By news.delaware.gov Published On :: Thu, 10 Oct 2024 20:01:32 +0000 The State of Delaware took a significant step towards a cleaner transportation future today, celebrating its receipt of 14.3 million thanks to the U.S. Environmental Protection Agency’s (EPA) “Climate Pollution Reduction Grant” (CPRG) program. This grant, the result of the Federal Inflation Reduction Act and the Biden-Harris Administration’s Investing in America agenda, will be used to […] Full Article Department of Natural Resources and Environmental Control Department of Transportation Division of Climate Coastal and Energy Kent County New Castle County News Sussex County Biden-Harris Administration’s Investing in America Clean Corridor Coalition Climate Pollution Reduction Grant CTDOT Delaware Climate Action Plan DelDOT DelDOT Secretary Nicole Majeski dnrec DNREC Secretary Shawn M. Garvin Federal Inflation Reduction Act MDE MDOT NJDOT Senator Tom Carper US EPA
gin AG Jennings issues open letter to Delaware landlords urging Delaware Landlord/Tenant Code Compliance By news.delaware.gov Published On :: Tue, 22 Oct 2024 17:22:59 +0000 Attorney General Kathy Jennings‘ Fraud and Consumer Protection Division has issued an open letter to Delaware landlords regarding commonly seen illegal lease provisions in residential leases. The letter puts landlords on notice that their residential leases must comply with Delaware’s Residential Landlord/Tenant Code. Attorney General Jennings stated: “Landlords have tremendous power over their tenants. The […] Full Article Department of Justice Press Releases
gin 1557 Technology and Engineering Teacher By regulations.delaware.gov Published On :: Thu, 03 Oct 2024 11:37:59 EDT DEPARTMENT OF EDUCATION: Professional Standards Board Full Article final
gin Student Found Hanging In Telangana Hostel, Family Alleges Foul Play By www.ndtv.com Published On :: Tue, 12 Nov 2024 07:57:42 +0530 A 17-year-old girl student of Rajiv Gandhi University of Knowledge Technologies (RGUKT) in Nirmal district of Telangana allegedly died by suicide on Monday, police said. Full Article
gin Batman: Arkham Origins Review By www.digit.in Published On :: 2023-09-22T13:09:00+05:30 Read the in depth Review of Batman: Arkham Origins Gaming. Know detailed info about Batman: Arkham Origins configuration, design and performance quality along with pros & cons, Digit rating, verdict based on user opinions/feedback. Full Article Gaming
gin Congress To Begin 'Delhi Nyay Yatra' Today Against AAP Government's Policies By www.ndtv.com Published On :: Fri, 08 Nov 2024 09:19:50 +0530 The Congress will begin a month-long 'Delhi Nyay Yatra' from Rajghat on Friday to corner the Aam Aadmi Party government on various issues affecting the city. Full Article
gin The Changing Face Of The Oval Office - All The US Presidents Since 1900 By www.ndtv.com Published On :: Sun, 03 Nov 2024 00:00:29 +0530 A look at how American leadership has evolved through major historical events and societal changes over the past century Full Article
gin Meet Anita Verma-Lallian, Indian-Origin Woman Who Bought Matthew Perry's Home By www.ndtv.com Published On :: Fri, 08 Nov 2024 09:51:32 +0530 An Indian-origin real estate developer and film producer has purchased 'Friends' star Matthew Perry's Los Angeles home. Full Article
gin Are You SAFE Yet? Leveraging the Ecosystem to Boost Your Product Time to Market By community.cadence.com Published On :: Tue, 09 Jul 2024 05:00:00 GMT We live in a rapidly growing “digitalized world,” with an ever-increasing need for video/music streaming, gaming, AI/machine learning, etc. All of these propel demand on modern SoC design to quickly evolve the SoC by fitting more sophisti...(read more) Full Article IP featured Silicon Solution Group PCIe 5.0 samsung foundry PCIe SSG PCIe 6.0 safe PCI Express Protocol IP
gin Unlock Your RF Engineering Potential with a Cadence AWR Free Academic Trial! By community.cadence.com Published On :: Tue, 04 Jun 2024 09:47:00 GMT Are you ready to revolutionize your RF design experience? Look no further! Cadence AWR software is your gateway to mastering the intricacies of Radio Frequency (RF) circuit design, and now, you can explore its power with our exclusive Free Academic T...(read more) Full Article Cadence Academic Network AWR Design Environment awr TRIAL AWR training RF design
gin Constraining some nets to route through a specific metal layer, and changing some pin/cell placements and wire directions in Cadence Innovus. By community.cadence.com Published On :: Fri, 03 Feb 2023 22:13:10 GMT Hello All: I am looking for help on the following, as I am new to Cadence tools [I have to use Cadence Innovus for Physical Design after Logic Synthesis using Synopsys Design Compiler, using Nangate 45 nm Open Cell Library]: while using Cadence Innovus, I would need to select a few specific nets to be routed through a specific metal layer. How can I do this on Innovus [are there any command(s)]? Also, would writing and sourcing a .tcl script [containing the command(s)] on the Innovus terminal after the Placement Stage of Physical Design be fine for this? Secondly, is there a way in Innovus to manipulate layout components, such as changing some pin placements, wire directions (say for example, wire direction changed to facing east from west, etc.) or moving specific closely placed cells around (without violating timing constraints of course) using any command(s)/.tcl script? If so, would pin placement changes and constraining some closely placed cells to be moved apart be done after Floorplanning/Powerplanning (that is, prior to Placement) and the wire direction changes be done after Routing? While making the necessary changes, could I use the usual Innovus commands to perform Physical Design of the remaining nets/wires/pins/cells, etc., or would anything need modification for the remaining components as well? I would finally need to dump the entire design containing all of this in a .def file. I tried looking up but could only find matter on Virtuoso and SKILL scripting, but I'd be using Innovus GUI/terminal with Nangate 45 nm Open Cell Library. I know this is a lot, but I would greatly appreciate your help. Thanks in advance. Riya Full Article
gin Training Insights – Palladium Emulation Course for Beginner and Advanced Users By community.cadence.com Published On :: Fri, 13 Sep 2024 23:00:00 GMT The Cadence Palladium Emulation Platform is a hardware system that implements the design, accelerating its execution and verification. Itoffers the highest performance and fastest bring-up times for pre-silicon validation of billion-gate designs, using a custom processor built by Cadence. This Palladium Introduction course is based on the Palladium 23.03 ISR4 version and covers the following modules: Introduction Palladium flow Running a design on the Palladium system This course starts with an “Introduction” module that explains Palladium and other verification platforms to show its place in the big picture. It also compares Palladium with Protium and simulation and discusses its usage and limitations. The “Palladium Flow” module includes two stages at a high level, which are Compile and Run. Then, it covers these stages in detail. First, it covers the ICE compile flow and IXCOM compile flow steps in detail. Then it explains Run, which is common for both ICE and IXCOM modes. The third module, “Running Design on the Palladium System,” covers all the items required for running your design on the Palladium system, including: Software stack requirements Basic concepts required to understand the flow Compute machine requirements In addition, this course contains labs for both the ICE and IXCOM flows with detailed steps to exercise the features provided by the Palladium system. The lab explains a practical example of multiple counters and exercising their signals for force, monitor, and deposit features, along with frequency calculation using a real-time clock. The course is available on the Cadence support page: There is also a Digital Badge available. You will find the Badge exam opportunity when you enroll in the Online training or after you have taken the training as "live" training. For questions and inquiries, or issues with registration, reach out to us at Cadence Training. Want to stay up to date on webinars and courses? Subscribe to Cadence Training emails. To view our complete training offerings, visit the Cadence Training website. Related Training Bytes Palladium: What Are Verification Platforms Palladium: What Is Processor Based Emulation Palladium: Comparing Emulation (Z2) and Prototyping (X2) Palladium: What Are ICE and IXCOM Compile Flow Palladium: How to Process a Design to Run on Palladium Palladium: XCOM Compile Flow (TB+RTL to Palladium Database) Palladium: ICE Compile Flow (RTL to Palladium Database) Palladium: Legacy ICE Compile Flow Palladium: Cadence Software Releases for Palladium and Protium Flow Palladium: Setting of PATHs for Using Palladium Palladium: Z2 Hardware Structure (Blade and Boards) Palladium: What Is Sourceless and Loadless nets Palladium: Design Clocks Palladium: Step Count and Step Clock Palladium: Steps for Running the Design on Palladium Z2 Related Courses Verilog Language and Application Training SystemVerilog for Design and Verification Xcelium Simulator Related Blogs Training Insights – A New Free Online Course on the Protium System for Beginner and Advanced Users It’s the Digital Era; Why Not Showcase Your Brand Through a Digital Badge! Training Insights - Free Online Courses on Cadence Learning and Support Portal Full Article digital badge live training blended training Palladium Training Insights online training
gin Training Webinar: Protium X2: Using Save/Restart for Debugging By community.cadence.com Published On :: Wed, 23 Oct 2024 07:19:00 GMT Cadence Protium prototyping platforms rapidly bring up an SoC or system prototype and provide a pre-silicon platform for early software development, SoC verification, system validation, and hardware regressions. In this Training W ebinar, we will explore debugging using Save/Restart on Protium X2 . This feature saves execution time and lets you focus on actual debugging. The system state can be saved before the bug appears and restartS directly from there without spending time in initial execution. We’ll cover key concepts and applications, explore Save/Restart performance metrics, and provide examples to help you understand the concepts. Agenda: The key concepts of debugging using save/restart Capabilities, limitations, and performance metrics Some examples to enable and use save/restart on the Protium X2 system Date and Time Thursday, November 7, 2024 07:00 PST San Jose / 10:00 EST New York / 15:00 GMT London / 16:00 CET Munich / 17:00 IST Jerusalem / 20:30 IST Bangalore / 23:00 CST Beijing REGISTER To register for this webinar, sign in with your Cadence Support account (email ID and password) to log in to the Learning and Support System*. Then select Enrol to register for the session. Once registered, you’ll receive a confirmation email containing all login details. A quick reminder: If you haven’t received a registration confirmation within 1 hour of registering, please check your spam folder and ensure your pop-up blockers are off and cookies are enabled. For issues with registration or other inquiries, reach out to eur_training_webinars@cadence.com . Want to See More Webinars? You can find recordings of all past webinars here Like This Topic? Take this opportunity and register for the free online course related to this webinar topic: Protium Introduction Training The course includes slides with audio and downloadable lab exercises designed to emphasize the topics covered in the lecture. There is also a Digital Badge available for the training. Want to share this and other great Cadence learning opportunities with someone else? Tell them to subscribe . Hungry for Training? Choose the Cadence Training Menu that’s right for you. To view our complete training offerings, visit the Cadence Training website . Related Courses Protium Introduction Training Course | Cadence Palladium Introduction Training Course | Cadence Related Blogs Training Insights – A New Free Online Course on the Protium System for Beginner and Advanced Users Training Insights – Palladium Emulation Course for Beginner and Advanced Users Related Training Bytes Protium Flow Steps for Running Design on Protium System ICE and IXCOM mode comparison ICE compile flow IXCOM compile flow PATH settings for using Protium System Please see the course learning maps for a visual representation of courses and course relationships. Regional course catalogs may be viewed here Full Article
gin McLaren and Cadence Are Engineering Success By community.cadence.com Published On :: Thu, 31 Oct 2024 14:00:00 GMT Celebrated for their unparalleled engineering expertise and pioneering mindset, McLaren stands at the forefront of innovation. Theirs is a story of engineering excellence, a symphony of speed driven by the relentless pursuit of aerodynamic perfection. In 2022, Cadence was named an Official Technology Partner of the McLaren Formula 1 Team. The multi-year partnership between McLaren and Cadence has helped redefine the boundaries of what’s possible in Formula 1 aerodynamics. Shaving off a fraction of a second per lap can make all the difference in a podium finish, and track conditions bring layers of complexity to the design process. That’s where Cadence steps in with Fidelity CFD Software. The Cadence Fidelity CFD software is a comprehensive suite of computational fluid dynamics (CFD) solutions. Access to this solution allows the McLaren F1 team to accelerate their CFD workflow, enabling them to assess designs faster and more precisely. It also allows them to investigate airflows and tackle design projects that require advanced compute power and precision. With Fidelity Flow’s solver capabilities and Python-driven automation, Cadence’s CFD software aids the advancement of aerodynamic simulations that go into McLaren’s F1 cars. With a customized, high-quality, multi-block meshing strategy and optimized workflow, Fidelity CFD makes design exploration more automated, thereby helping establish a strong foundation for McLaren’s future success on the track. Lando Norris, F1 driver for McLaren, said, “As a driver, I saw the impact of every decision made in the design room in every simulation run. The work on aerodynamics directly translates to the confidence I have on track, the grip in every turn, and the speed on every straight. This partnership, this technology, is what will give us the edge. It's not just about battling opponents; it's about mastering the airflow around the car in every driving condition on every track.” If you’re interested in learning more about the importance of CFD in McLaren’s racing success, be sure to attend our upcoming webinar, “CFD and Experimental Aerodynamics in McLaren F1 Engineering.” Christian Schramm, McLaren’s director of advanced projects, and Cadence’s Benjamin Leroy will be the main speakers for the event. Register today to secure your spot! For more insights on the Formula 1 car design process, take a look at the case study, “ McLaren Formula 1 Car Aerodynamics Simulation with Cadence Fidelity CFD Software .” Learn more about how McLaren and Cadence are engineering success . “Designed with Cadence” is a series of videos that showcases creative products and technologies that are accelerating industry innovation using Cadence tools and solutions. For more Designed with Cadence videos, check out the Cadence website and YouTube channel . Full Article
gin Path mapping for C Firmware source files when debugging By community.cadence.com Published On :: Mon, 25 Feb 2019 16:24:37 GMT Hi, i am compiling firmware under Windows transfer the binaries and the sources to Linux to simulate/debug there. The problem is that the paths in the DWARF debug info of the .elf file are the absolute Windows paths as set by the compiler so they are useless under Linux. Is it possible to configure mappings of these paths to the Linux paths when simulating/debugging like with e.g. GDB (https://sourceware.org/gdb/current/onlinedocs/gdb/Source-Path.html#index-set-substitute_002dpath)? thx, Peter Full Article
gin Start Your Engines: Optimizing Mixed-Signal Simulation Efficiency By community.cadence.com Published On :: Wed, 05 Jun 2024 20:18:00 GMT During a mixed-signal simulation, the analog engine usually dominates the simulation time and resources. If you need to run only the analog engine in several windows, or if you would like to to run multiple tests of the same circuit with different stimuli or test pattern, then you need to run the simulation multiple times. View this blog to know more about the the two advanced technologies that Spectre AMS Designer provides to help you improve the efficiency of your mixed-signal designs and to increase the simulation speed.(read more) Full Article AMS mixed-signal methodology AMS Designer Start Your Engines AMS simulation
gin Start Your Engines: Create and Insert Connect Modules for Mixed-Signal Verification By community.cadence.com Published On :: Tue, 11 Jun 2024 16:17:00 GMT Read this blog to know how you can easily create and insert connect modules using Spectre AMS Designer with the Verilog-AMS standard language defined by Accellera. (read more) Full Article AMS AMS Designer Mixed-Signal AMS simulation mixed-signal design AMS Verification mixed-signal verification
gin Start Your Engines: The Innovation Behind Universal Connect Modules (UCM) By community.cadence.com Published On :: Fri, 02 Aug 2024 08:10:00 GMT Read this blog to know more about the innovation behind Universal Connect Modules (UCM).(read more) Full Article SystemVerilog Start Your Engines Spectre AMS Designer Verilog-AMS Mixed-Signal mixed-signal verification
gin Purging duplicate vias in pcb editor By community.cadence.com Published On :: Fri, 10 Dec 2021 07:07:15 GMT How do we purge/remove the duplicated vias in the same location of the PCB editor? These vias are not the one stacked and they are just blind vias running in internal layers 12-14. I find there is an additional copy of the blind via at the same location. Not sure what caused this issue. Full Article
gin Tagging uvm_errors in waveform file for post-processing By community.cadence.com Published On :: Thu, 08 Feb 2024 11:45:04 GMT Hi, Do anyone know if it's possible in simvision waveform viewer to see a timestamp of where uvm_errors/$errors occurred in a simulation via post-processing? Cheers, Antonio Full Article
gin UVM debugging: How to save and load signals during an interactive session in Simvision By community.cadence.com Published On :: Thu, 07 Mar 2024 23:18:50 GMT Hello, I am aware of command script .svcf file that saves signals and loads them in while opening Simvision. I am wondering, if there is a way for saving signals while we are in an interactive session and loading them next time when we open Simvision interactively. Any ideas on how to do this? Thank you in advance. Swetha. C Full Article
gin BoardSurfers: Managing Silkscreen Data Using Allegro 3D Canvas By community.cadence.com Published On :: Wed, 24 Aug 2022 13:30:00 GMT The silkscreen layer plays a crucial role in the assembly, repair, and testing of a PCB. You can add a variety of information to this layer, such as the location of the components, polarity, component orientation, on-off switches, LEDs, and testpoint...(read more) Full Article 17.4 BoardSurfers 3D Canvas 17.4-2019 Allegro PCB Editor silkscreen Allegro
gin BoardSurfers: Managing Design Constraints Efficiently Using Constraint Sets By community.cadence.com Published On :: Wed, 07 Sep 2022 13:44:00 GMT A constraint is a user-defined property, or a rule, applied to a physical object, such as a net, pin, or via in a design. There are a number of constraints that can be applied to an object based on its type and behavior. For example, you can define t...(read more) Full Article PCB 17.4 BoardSurfers PCB Editor Constraint Manager 17.4-2019 PCB design Constraints Allegro PCB Editor Constraint Set Allegro
gin Start Your Engines: AMS Flex – Our Next Generation Architecture Matures By community.cadence.com Published On :: Wed, 06 Jul 2022 05:05:00 GMT An AMS Designer Flex simulation gives you the most immediate access to the latest simulation technology on either side, gets out of the way of the core engines and allows the engine performance to shine while providing access to new features. Check out this blog to know more.(read more) Full Article AMS Designer AMSD Start Your Engines Mixed-Signal AMSD Flex Mode mixed-signal design Cadence Community AMS Flex
gin Start Your Engines: An Innovative and Efficient Approach to Debug Interface Elements with SimVision MS By community.cadence.com Published On :: Fri, 29 Jul 2022 04:35:00 GMT This blog introduces you to an efficient way to debug interface elements or connect modules in a mixed-signal simulation.(read more) Full Article connect modules mixed signal design interface elements AMS Designer mixed-signal simulation Virtuoso SimVision-MS
gin Technical Webinar: A Beginner’s Guide to RTL-to-GDSII Front-End Flow By community.cadence.com Published On :: Wed, 21 Aug 2024 06:23:00 GMT In this training webinar, we explore the concepts of RTL design, design verification, and coverage analysis while unveiling the exciting world of front-end design flow. We will guide you through the essential steps in creating integrated circuits, the building blocks of modern electronics. We’ll break down the process into manageable stages, from defining the chip’s functionality to its physical realization. We’ll investigate the front-end part of the RTL-to-GDSII flow—from specification to functional verification and design coverage—and explore: Key concepts of specifying chip behavior and performance How to translate ideas into a digital blueprint and transform that into a design How to ensure your design is free of errors This webinar provides practical knowledge, making it your gateway to understanding the magic behind RTL-to-GDSII front-end design flow. When Is the Webinar? Date and Time Wednesday, September 18, 202407:00 PDT San Jose / 10:00 EDT New York / 15:00 BST London / 16:00 CEST Munich / 17:00 IDT Jerusalem / 19:30 IST Bangalore / 22:00 CST Beijing REGISTER To register for this webinar, sign in with your Cadence Support account (email ID and password) to log in to the Learning and Support System. Then select Enroll to register for the session. Once registered, you’ll receive a confirmation email containing all login details. If you don’t have a Cadence Support account, go to Cadence User Registration and complete the requested information. Or visit Registration Help. For inquiries or issues with registration, reach out to eur_training@cadence.com.For inquiries or issues with registration, reach out to eur_training@cadence.com. To view our complete training offerings, visit the Cadence Training website. Want to share this and other great Cadence learning opportunities with someone else? Tell them to subscribe. Want to Learn More? This link gives you more information about the related training course and a link to enroll: Cadence RTL-to-GDSII Flow Training The course includes slides with audio and downloadable laboratory exercises designed to emphasize the topics covered in the lecture. There is also a Digital Badge available for the training. The online class is free for all Cadence customers with a Cadence Learning and Support Portal account. For instructor-led training sessions "Live" or "Blended" please contact Cadence Training. Also, take this opportunity to register for the free Online Trainings related to this webinar topic. Cadence RTL-to-GDSII Flow Xcelium Simulator Verilog Language and Application Xcelium Integrated Coverage Related Training Bytes How to Run the Synthesis Without DFT? How to Run the Synthesis Flow with DFT? (Video) Related Blogs Did You Miss the RTL-to-GDSII Webinar? No Worries, the Recording Is Available! Training Insights – Why Is RTL Translated into Gate-Level Netlist? Training Bytes: They May Be Shorter, But the Impact Is Stronger! Cadence Support - A Round-the-Clock Problem Solver, Webinar Recording Available! Full Article COS IMC IC DFT Integrated Metrics Center IP chip design webinars verification engineers Xcelium Logic Simulator training Mixed-Signal Logic Design coverage analysis RTL-to-GDSII FrontEnd training bytes system verilog Freshly Graduate Cadence RTL-to-GDSII Flow Technical webinar RTL2GDSII RTL design online training HLS VHDL vManager Verisuim
gin Viewpoint: In emerging states, more investment isn’t enough By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Mon, 20 Jan 2020 12:41:41 +0000 Emerging states must re-orientate their investment efforts to increasingly target those with an outsized social impact Full Article
gin View from Asia: imagining the worst By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Thu, 16 Apr 2020 13:03:58 +0100 What if the coronavirus lasts until the end of the year? Lawrence Yeo has a bleak forecast. Full Article
gin Emerging markets predicted to spearhead GDP growth over next decade By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Tue, 14 Jan 2020 11:24:32 +0000 Lower fertility rates will boost economic growth, according to a demographic model developed by Renaissance Capital. Full Article
gin FDI health checks could boost emerging markets By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Tue, 17 Dec 2019 15:19:17 +0000 US-led vetting and certification of big-ticket projects may go a long way to allaying western investor concerns about rule of law and transparency issues, says intelligence firm Alaco’s head of content. Full Article
gin 2024 Mazda CX-90 recalled for engine start-stop issues By www.thecarconnection.com Published On :: Mon, 11 Nov 2024 12:29:00 -0500 Mazda is recalling CX-90 three-row crossover SUVs because of a software problem that could prevent the engine from restarting when the engine stop-start system is used. The CX-90 is available with mild-hybrid and plug-in hybrid powertrains, but this recall only involves 2024 mild-hybrid models, encompassing 38,926 vehicles in total. The mild... Full Article
gin PUBNUB: Making Engaging Realtime Experiences a Reality in India By www.9lessons.info Published On :: Tue, 21 Jul 2020 08:46:00 -0400 Realtime Communication is providing enterprises with an innovative way to deliver better, more cost-effective customer service. Technology companies in India are racing towards a more connected and always-on world, making it easier, faster, safer, and more convenient for everyday people to do the things they need and achieve the things about which they dream. PubNub’s Realtime Communication Platform provides the backbone that any company can rely on to deliver engaging experiences that users love, including fast-growing companies like Swiggy, Apollo Health and others. Full Article APIs chat Collaboration realtime sponsor
gin Packaging for 'Wicked' dolls includes porn URL By mashable.com Published On :: Mon, 11 Nov 2024 20:16:01 +0000 The package for Mattel's 'Wicked' dolls has a shocking error. Full Article
gin Hugging Face and NVIDIA to Accelerate Open-Source AI Robotics Research and Development By blogs.nvidia.com Published On :: Wed, 06 Nov 2024 16:00:32 +0000 At the Conference for Robot Learning (CoRL) in Munich, Germany, Hugging Face and NVIDIA announced a collaboration to accelerate robotics research and development by bringing together their open-source robotics communities. Hugging Face’s LeRobot open AI platform combined with NVIDIA AI, Omniverse and Isaac robotics technology will enable researchers and developers to drive advances across a Read Article Full Article Corporate Generative AI Robotics NVIDIA Jetson Open Source
gin The Beginning of God’s Final Wrath (Revelation 6:3-8) By feeds.gty.org Published On :: Sun, 18 Feb 2024 00:00:00 Check here each week to keep up with the latest from John MacArthur's pulpit at Grace Community Church.Click the icon below to listen. Full Article Revelation
gin Engaging Arctic Indigenous Communities Meaningfully in Decision-making Affecting Their Food Security By www.eastwestcenter.org Published On :: Tue, 30 Jul 2024 22:49:40 +0000 Engaging Arctic Indigenous Communities Meaningfully in Decision-making Affecting Their Food Security Engaging Arctic Indigenous Communities Meaningfully in Decision-making Affecting Their Food Security stanfords Tue, 07/30/2024 - 12:49 Apr 23, 2021 Apr 23, 2021 Environment & Climate Environment & Climate Arctic Arctic Web Article Home EWC Feeds Recent online articles and analysis that have been published on the East-West Center website. Explore search All Programs All Regions All Topics Release Date Filters Reset filters Web Article Home EWC Feeds Recent online articles and analysis that have been published on the East-West Center website. Explore search All Programs All Regions All Topics Release Date Filters Reset filters Full Article
gin ASUU accuses IMF, W’Bank of sabotaging Nigeria’s varsities By punchng.com Published On :: Wed, 13 Nov 2024 07:20:30 +0000 The Academic Staff Union of Universities on Tuesday raised an alarm over a plot to sabotage the interest of public universities in the country. ASUU’s National President, Prof Emmanuel Osodeke, at an event marking the 2024 ASUU’s Heroes Day in Abuja, also accused the World Bank and the International Monetary Fund of working relentlessly to Read More Full Article News
gin Longing for the Word (1 Peter 2:1–9) By feeds.gty.org Published On :: Sun, 15 Sep 2024 00:00:00 PST Open your Bibles to 1 Peter, chapter 2; 1 Peter, chapter 2. I trust this will be a helpful, instructive, and practical portion of Scripture for our edi Full Article
gin The Economic Impact of Population Aging: How Should Policymakers Respond? By www.eastwestcenter.org Published On :: Wed, 27 Feb 2019 02:02:04 +0000 The Economic Impact of Population Aging: How Should Policymakers Respond? The Economic Impact of Population Aging: How Should Policymakers Respond? Anonymous (not verified) Tue, 02/26/2019 - 16:02 Mar 1, 2019 Mar 1, 2019 Population Population East-West Wire Tagline News, Commentary, and Analysis Home EWC Feeds East-West Wire The East-West Wire is a news, commentary, and analysis service provided by the East-West Center in Honolulu. Any part or all of the Wire content may be used by media with attribution to the East-West Center or the person quoted. To receive East-West Center Wire media releases via email, subscribe here. For links to all East-West Center media programs, fellowships and services, see www.eastwestcenter.org/journalists. Explore search All Programs All Regions All Topics Release Date Filters Reset filters East-West Wire Tagline News, Commentary, and Analysis Home EWC Feeds East-West Wire The East-West Wire is a news, commentary, and analysis service provided by the East-West Center in Honolulu. Any part or all of the Wire content may be used by media with attribution to the East-West Center or the person quoted. To receive East-West Center Wire media releases via email, subscribe here. For links to all East-West Center media programs, fellowships and services, see www.eastwestcenter.org/journalists. Explore search All Programs All Regions All Topics Release Date Filters Reset filters Full Article
gin Jakarta's 'Great Garuda' Project: Profits for Re-emerging Elites in the Name of Climate Change By www.eastwestcenter.org Published On :: Tue, 18 Jun 2019 00:58:27 +0000 Jakarta's 'Great Garuda' Project: Profits for Re-emerging Elites in the Name of Climate Change Jakarta's 'Great Garuda' Project: Profits for Re-emerging Elites in the Name of Climate Change Anonymous (not verified) Mon, 06/17/2019 - 14:58 Jun 21, 2019 Jun 21, 2019 Environment & Climate Environment & Climate Indonesia Indonesia East-West Wire Tagline News, Commentary, and Analysis Home EWC Feeds East-West Wire The East-West Wire is a news, commentary, and analysis service provided by the East-West Center in Honolulu. Any part or all of the Wire content may be used by media with attribution to the East-West Center or the person quoted. To receive East-West Center Wire media releases via email, subscribe here. For links to all East-West Center media programs, fellowships and services, see www.eastwestcenter.org/journalists. Explore search All Programs All Regions All Topics Release Date Filters Reset filters East-West Wire Tagline News, Commentary, and Analysis Home EWC Feeds East-West Wire The East-West Wire is a news, commentary, and analysis service provided by the East-West Center in Honolulu. Any part or all of the Wire content may be used by media with attribution to the East-West Center or the person quoted. To receive East-West Center Wire media releases via email, subscribe here. For links to all East-West Center media programs, fellowships and services, see www.eastwestcenter.org/journalists. Explore search All Programs All Regions All Topics Release Date Filters Reset filters Full Article
gin Can Technology Offset the Effects of Population Aging on Economic Growth? New Report from the Asian Development Bank By www.eastwestcenter.org Published On :: Fri, 27 Mar 2020 02:59:47 +0000 Can Technology Offset the Effects of Population Aging on Economic Growth? New Report from the Asian Development Bank Can Technology Offset the Effects of Population Aging on Economic Growth? New Report from the Asian Development Bank Anonymous (not verified) Thu, 03/26/2020 - 16:59 Mar 27, 2020 Mar 27, 2020 Population Population East Asia East Asia South Asia South Asia Southeast Asia Southeast Asia East-West Wire Tagline News, Commentary, and Analysis Home EWC Feeds East-West Wire The East-West Wire is a news, commentary, and analysis service provided by the East-West Center in Honolulu. Any part or all of the Wire content may be used by media with attribution to the East-West Center or the person quoted. To receive East-West Center Wire media releases via email, subscribe here. For links to all East-West Center media programs, fellowships and services, see www.eastwestcenter.org/journalists. Explore search All Programs All Regions All Topics Release Date Filters Reset filters East-West Wire Tagline News, Commentary, and Analysis Home EWC Feeds East-West Wire The East-West Wire is a news, commentary, and analysis service provided by the East-West Center in Honolulu. Any part or all of the Wire content may be used by media with attribution to the East-West Center or the person quoted. To receive East-West Center Wire media releases via email, subscribe here. For links to all East-West Center media programs, fellowships and services, see www.eastwestcenter.org/journalists. Explore search All Programs All Regions All Topics Release Date Filters Reset filters Full Article
gin An Aging Population in Asia Creates Economic Challenges By www.eastwestcenter.org Published On :: Thu, 07 May 2020 21:54:26 +0000 An Aging Population in Asia Creates Economic Challenges An Aging Population in Asia Creates Economic Challenges Anonymous (not verified) Thu, 05/07/2020 - 11:54 May 7, 2020 May 7, 2020 Economics Economics Population Population South Korea South Korea Indonesia Indonesia East-West Wire Tagline News, Commentary, and Analysis Home EWC Feeds East-West Wire The East-West Wire is a news, commentary, and analysis service provided by the East-West Center in Honolulu. Any part or all of the Wire content may be used by media with attribution to the East-West Center or the person quoted. To receive East-West Center Wire media releases via email, subscribe here. For links to all East-West Center media programs, fellowships and services, see www.eastwestcenter.org/journalists. Explore search All Programs All Regions All Topics Release Date Filters Reset filters East-West Wire Tagline News, Commentary, and Analysis Home EWC Feeds East-West Wire The East-West Wire is a news, commentary, and analysis service provided by the East-West Center in Honolulu. Any part or all of the Wire content may be used by media with attribution to the East-West Center or the person quoted. To receive East-West Center Wire media releases via email, subscribe here. For links to all East-West Center media programs, fellowships and services, see www.eastwestcenter.org/journalists. Explore search All Programs All Regions All Topics Release Date Filters Reset filters Full Article
gin The Fierce Urgency of Now – Engaging Pacific Islander Communities in Hawai‘i to Contain COVID-19 By www.eastwestcenter.org Published On :: Wed, 19 Aug 2020 20:23:54 +0000 The Fierce Urgency of Now – Engaging Pacific Islander Communities in Hawai‘i to Contain COVID-19 The Fierce Urgency of Now – Engaging Pacific Islander Communities in Hawai‘i to Contain COVID-19 telleid Wed, 08/19/2020 - 10:23 Aug 21, 2020 Aug 21, 2020 Public Health Public Health Hawaiʻi Hawaiʻi East-West Wire Tagline News, Commentary, and Analysis Home EWC Feeds East-West Wire The East-West Wire is a news, commentary, and analysis service provided by the East-West Center in Honolulu. Any part or all of the Wire content may be used by media with attribution to the East-West Center or the person quoted. To receive East-West Center Wire media releases via email, subscribe here. For links to all East-West Center media programs, fellowships and services, see www.eastwestcenter.org/journalists. Explore search All Programs All Regions All Topics Release Date Filters Reset filters East-West Wire Tagline News, Commentary, and Analysis Home EWC Feeds East-West Wire The East-West Wire is a news, commentary, and analysis service provided by the East-West Center in Honolulu. Any part or all of the Wire content may be used by media with attribution to the East-West Center or the person quoted. To receive East-West Center Wire media releases via email, subscribe here. For links to all East-West Center media programs, fellowships and services, see www.eastwestcenter.org/journalists. Explore search All Programs All Regions All Topics Release Date Filters Reset filters Full Article
gin Forging a New Ethical Relationship with Artificial Intelligence By www.eastwestcenter.org Published On :: Thu, 01 Jul 2021 01:28:42 +0000 Forging a New Ethical Relationship with Artificial Intelligence Forging a New Ethical Relationship with Artificial Intelligence venkatp Wed, 06/30/2021 - 15:28 Jun 30, 2021 Jun 30, 2021 Science & Technology Science & Technology East-West Wire Tagline News, Commentary, and Analysis Home EWC Feeds East-West Wire The East-West Wire is a news, commentary, and analysis service provided by the East-West Center in Honolulu. Any part or all of the Wire content may be used by media with attribution to the East-West Center or the person quoted. To receive East-West Center Wire media releases via email, subscribe here. For links to all East-West Center media programs, fellowships and services, see www.eastwestcenter.org/journalists. Explore search All Programs All Regions All Topics Release Date Filters Reset filters East-West Wire Tagline News, Commentary, and Analysis Home EWC Feeds East-West Wire The East-West Wire is a news, commentary, and analysis service provided by the East-West Center in Honolulu. Any part or all of the Wire content may be used by media with attribution to the East-West Center or the person quoted. To receive East-West Center Wire media releases via email, subscribe here. For links to all East-West Center media programs, fellowships and services, see www.eastwestcenter.org/journalists. Explore search All Programs All Regions All Topics Release Date Filters Reset filters Full Article
gin Bridging the Digital Divide By www.eastwestcenter.org Published On :: Wed, 10 Nov 2021 20:35:46 +0000 Bridging the Digital Divide Bridging the Digital Divide venkatp Wed, 11/10/2021 - 10:35 Nov 10, 2021 Nov 10, 2021 Science & Technology Science & Technology Social Issues Social Issues Hawaiʻi Hawaiʻi East-West Wire Tagline News, Commentary, and Analysis Home EWC Feeds East-West Wire The East-West Wire is a news, commentary, and analysis service provided by the East-West Center in Honolulu. Any part or all of the Wire content may be used by media with attribution to the East-West Center or the person quoted. To receive East-West Center Wire media releases via email, subscribe here. For links to all East-West Center media programs, fellowships and services, see www.eastwestcenter.org/journalists. Explore search All Programs All Regions All Topics Release Date Filters Reset filters East-West Wire Tagline News, Commentary, and Analysis Home EWC Feeds East-West Wire The East-West Wire is a news, commentary, and analysis service provided by the East-West Center in Honolulu. Any part or all of the Wire content may be used by media with attribution to the East-West Center or the person quoted. To receive East-West Center Wire media releases via email, subscribe here. For links to all East-West Center media programs, fellowships and services, see www.eastwestcenter.org/journalists. Explore search All Programs All Regions All Topics Release Date Filters Reset filters Full Article
gin Federal High Court of Nigeria Judges begin Christmas Vacation Dec 16 By dailypost.ng Published On :: Wed, 13 Nov 2024 06:04:36 +0000 Judges of the Federal High Court of Nigeria are to proceed on 2024 Christmas Vacation on Monday December 16, this year. According to a circular signed by the Chief Judge of the Court, Hon. Justice John Terhemba Tsoho, the Judges are to return to work on Monday January 6, 2025. However, normal court sitting would […] Federal High Court of Nigeria Judges begin Christmas Vacation Dec 16 Full Article News christmas Federal High Court
gin Jabulani Khumalo hits back at Dali Mpofu’s MK Party origins claims, says Floyd Shivambu should have stayed at EFF By www.iol.co.za Published On :: Sat, 09 Nov 2024 12:45:37 GMT Full Article
gin Two Durban women accused of drugging and robbing a pensioner, after making him tea By www.iol.co.za Published On :: Tue, 12 Nov 2024 09:22:47 GMT Full Article
gin The Singles' Day shopping festival loses its shine under China's lagging economy By www.voanews.com Published On :: Mon, 11 Nov 2024 03:36:28 -0500 HONG KONG — Merchants and consumers alike found the Singles' Day shopping festival Monday less shiny than in years past as e-commerce firms look abroad for growth. The annual event named by the numeric form of its Nov. 11 date was started by e-commerce platform Alibaba, which offered attractive discounts to entice shoppers to spend big. The extravaganza has since expanded to other platforms like JD.com and Pinduoduo in China as well as abroad. While Singles’ Day was previously a one-day event, shopping platforms in China now kickstart the festival weeks ahead to drum up sales volume. The festival has also traditionally been regarded as a barometer of consumer sentiment. But amid China’s lagging domestic economy, dragged down by a real estate crisis and deflationary pressures, consumers no longer go all out on purchases during the shopping extravaganza. “I only spent a few hundred yuan on daily necessities,” said Wang Haihua, who owns a fitness center in Beijing. Wang said that the prices offered on e-commerce platforms during Singles’ Day are not necessarily cheaper than usual. “They’re all tricks and we’ve seen through it over the years,” she said. Zhang Jiewei, a 34-year-old who runs a barber shop in Xi’an city, echoed Wang’s sentiments, saying that he no longer trust Singles’ Day promotions as some merchants tend to raise the usual price of a product before offering a discount, giving consumers the illusion they are getting a deal. “I used to buy a lot two or three years ago and I even purchased a mobile phone (during Singles’ Day),” he said. “I stopped doing that following the pandemic because of less income. I am not going to buy anything this year,” Zhang added. Some experts say that Beijing’s recent stimulus measures have had little impact to boost consumer confidence. “People are not interested in spending and are cutting back on big-ticket items,” said Shaun Rein, founder and managing director of China Market Research Group in Shanghai. “Since October 2022, the weak economy means that everything has been on discount year-round, 11.11 is not going to bring in more discounts that the month before.” Rein said he expects low growth for the Singles’ Day shopping festival as consumers tighten their spending in anticipation of difficult economic times ahead. Categories such as sportswear and fitness, however, have been doing well as customers “trade down a Gucci bag for Lululemon sportswear,” he said. Platforms like JD.com and Alibaba, which operates e-commerce platforms Taobao and Tmall, previously used to publish the value of transactions made during the festival, but have since stopped revealing the total figure. While yearly growth used to be in the double digits, estimates of recent figures have dwindled to low single-digit growth. Syntun, a data provider, estimated that last year’s gross merchandising volume sales across major e-commerce platforms grew just 2% to $156.40 billion, a far cry from double-digit growth before COVID-19. Merchants who typically take part in the Singles’ Day shopping festivals say the costs of participation no longer pay off, amid high advertising fees and unsatisfactory sales. Zhao Gao, who owns a garment factory in eastern Zhejiang province, said that after paying advertising costs to e-commerce platforms he would only break even after sales. “The platforms have so many rules for promotions and customers have become more skeptical,” he said. “As a merchant, I no longer participate in the Singles’ Day promotions.” Another merchant, Du Baonian who runs a food company processing mutton in Inner Mongolia, said that overall sales in the past year have fallen 15% as consumers downgraded and reduced consumption. Du said that while he still takes part in the Singles’ Day promotions, the higher expenses do not typically generate returns because of sluggish sales. “We are seeing shrinking revenue, but advertisement on the platform can help us to maintain our leading sales position,” he said, adding that he was considering advertising on more e-commerce platforms to target more consumers. Meanwhile, e-commerce platforms grappling with a slowing domestic market have also turned to overseas markets to seek new growth, offering promotions like global free shipping and allowing merchants to sell globally with ease. Alibaba, for example, said in a blog post on its Alizila site that some 70,000 merchants saw sales double with global free shipping. In markets like Singapore and Hong Kong, new customers also doubled, Alibaba said. Full Article China News East Asia
gin 2024 Changing Faces Women's Leadership Seminar By www.eastwestcenter.org Published On :: Wed, 06 Dec 2023 02:14:11 +0000 2024 Changing Faces Women's Leadership Seminar grandyd Tue, 12/05/2023 - 16:14 Feb 5, 2024 Gender Equality Leadership Full Article