syn

An engineered double lipid II binding motifs-containing lantibiotic displays potent and selective antimicrobial activity against E. faecium [Chemistry; Biosynthesis]

Lipid II is an essential precursor of the bacterial cell wall biosynthesis and thereby an important target for various antibiotics. Several lanthionine-containing peptide antibiotics target lipid II with lanthionine-stabilized lipid II-binding motifs. Here, we used the biosynthesis system of the lantibiotic nisin to synthesize a two lipid II binding motifs-containing lantibiotic, termed TL19, which contains the N-terminal lipid II binding motif of nisin and the distinct C-terminal lipid II binding motif of one peptide of the two-component haloduracin (i.e. HalA1). Further characterization demonstrated that (i) TL19 exerts 64-fold stronger antimicrobial activity against E. faecium than nisin (1-22), which has only one lipid II binding site, and (ii) both the N- and C-terminal domains are essential for the potent antimicrobial activity of TL19, as evidenced by mutagenesis of each single and double domains. These results show the feasibility of a new approach to synthesize potent lantibiotics with two different lipid II binding motifs to treat specific antibiotic-resistant pathogens.




syn

ZN148 - a modular synthetic metallo-{beta}-lactamase inhibitor reverses carbapenem-resistance in Gram-negative pathogens in vivo [Experimental Therapeutics]

Carbapenem-resistant Gram-negative pathogens are a critical public health threat and there is an urgent need for new treatments. Carbapenemases (β-lactamases able to inactivate carbapenems) have been identified in both serine β-lactamase (SBL) and metallo β-lactamase (MBL) families. The recent introduction of SBL carbapenemase-inhibitors has provided alternative therapeutic options. Unfortunately, there are no approved inhibitors of MBL-mediated carbapenem-resistance and treatment options for infections caused by MBL-producing Gram-negatives are limited. Here, we present ZN148, a zinc-chelating MBL-inhibitor capable of restoring the bactericidal effect of meropenem and in vitro clinical susceptibility to carbapenems in >98% of a large international collection of MBL-producing clinical Enterobacterales strains (n=234). Moreover, ZN148 was able to potentiate the effect of meropenem against NDM-1-producing Klebsiella pneumoniae in a murine neutropenic peritonitis model. ZN148 showed no inhibition of the human zinc-containing enzyme glyoxylase II at 500 μM and no acute toxicity was observed in an in vivo mouse model with cumulative dosages up to 128 mg/kg. Biochemical analysis showed a time-dependent inhibition of MBLs by ZN148 and removal of zinc ions from the active site. Addition of exogenous zinc after ZN148 exposure only restored MBL activity by ~30%, suggesting an irreversible mechanism of inhibition. Mass-spectrometry and molecular modelling indicated potential oxidation of the active site Cys221 residue. Overall, these results demonstrate the therapeutic potential of a ZN148-carbapenem combination against MBL-producing Gram-negative pathogens and that ZN148 is a highly promising MBL inhibitor, capable of operating in a functional space not presently filled by any clinically approved compound.




syn

Combination Therapy with Ibrexafungerp (formerly SCY-078), a First-in-Class Triterpenoid Inhibitor of (1->3)-{beta}-D-Glucan Synthesis, and Isavuconazole for Treatment of Experimental Invasive Pulmonary Aspergillosis [Experimental Therapeutics]

Ibrexafungerp (formerly SCY-078) is a semisynthetic triterpenoid and potent (1->3)-β-D-glucan synthase inhibitor. We investigated the in vitro activity, pharmacokinetics, and in vivo efficacy of ibrexafungerp (SCY) alone and in combination with anti-mould triazole isavuconazole (ISA) against invasive pulmonary aspergillosis (IPA). The combination of ibrexafungerp and isavuconazole in in vitro studies resulted in an additive and synergistic interactions against Aspergillus spp. Plasma concentration-time curves of ibrexafungerp were compatible with linear dose proportional profile. In vivo efficacy was studied in a well established persistently neutropenic NZW rabbit model of experimental IPA. Treatment groups included untreated rabbits (UC) and rabbits receiving ibrexafungerp at 2.5(SCY2.5) and 7.5(SCY7.5) mg/kg/day, isavuconazole at 40(ISA40) mg/kg/day, or combinations of SCY2.5+ISA40 and SCY7.5+ISA40. The combination of SCY+ISA produced in vitro synergistic interaction. There was significant in vivo reduction of residual fungal burden, lung weights, and pulmonary infarct scores in SCY2.5+ISA40, SCY7.5+ISA40, and ISA40-treatment groups vs that of SCY2.5-treated, SCY7.5-treated and UC (p<0.01). Rabbits treated with SCY2.5+ISA40 and SCY7.5+ISA40 had prolonged survival in comparison to that of SCY2.5-, SCY7.5-, ISA40-treated or UC (p<0.05). Serum GMI and (1->3)-β-D-glucan levels significantly declined in animals treated with the combination of SCY7.5+ISA40 in comparison to those treated with SCY7.5 or ISA40 (p<0.05). Ibrexafungerp and isavuconazole combination demonstrated prolonged survival, decreased pulmonary injury, reduced residual fungal burden, lower GMI and (1->3)-β-D-glucan levels in comparison to those of single therapy for treatment of IPA. These findings provide an experimental foundation for clinical evaluation of the combination of ibrexafungerp and an anti-mould triazole for treatment of IPA.




syn

Mutations in ArgS arginine-tRNA synthetase confer additional antibiotic-tolerance protection to ESBL-producing Burkholderia thailandensis [Mechanisms of Resistance]

Highly conserved PenI-type class A β-lactamase in pathogenic members of Burkholderia can evolve to extended-spectrum β-lactamase (ESBL), which exhibits hydrolytic activity towards third-generation cephalosporins, while losing its activity towards the original penicillin substrates. We describe three single-amino-acid-substitution mutations in the ArgS arginine-tRNA synthetase that confer extra antibiotic tolerance protection to ESBL-producing Burkholderia thailandensis. This pathway can be exploited to evade antibiotic tolerance induction in developing therapeutic measures against Burkholderia species, targeting their essential aminoacyl-tRNA synthetases.




syn

In vitro and in vivo study on the synergistic effect of minocycline and azoles against pathogenic fungi [Susceptibility]

In vitro and in vivo interactions of minocycline and azoles including itraconazole, voriconazole, and posaconazole against filamentous pathogenic fungi were investigated. A total of 56 clinical isolates were studied in vitro via broth microdilution checkerboard technique, including 20 strains of Aspergillus fumigatus, 7 strains of A. flavus, 16 strains of Exophiala dermatitidis, 10 strains of Fusarium solani and 3 strain s of F. oxysporum. The results revealed that minocycline individually did not exhibit any significant antifungal activity against all tested strains. However, favorable synergy of minocycline with itraconazole, voriconazole, or posaconazole were observed against 34 (61%), 28 (50%), and 38 (69%) isolates, respectively, including azole resistant A. fumigatus and Fusarium spp. with inherently high MICs of azoles. Synergistic combinations resulted in 4 fold to 16-fold reduction of effective MICs of minocycline and azoles. No antagonism was observed. In vivo effect of minocycline-azole combinations were evaluated by survival assay in Galleria mellonella model infected with E. dermatitidis strain BMU00034, F. solani strain FS9, A. fumigatus strain AF293, AFR1 and AFR2 . Minocycline acted synergistically with azoles and significantly increased larvae survival in all isolates (P<0.001), including azole resistant A. fumigatus and azole-inactive Fusarium spp.. In conclusion, the results suggested that minocycline combined with azoles may help to enhance the antifungal susceptibilities of azoles against pathogenic fungi and had the potential to overcome azole resistance issues.




syn

Clinically relevant epithelial lining fluid concentrations of meropenem with ciprofloxacin provide synergistic killing and resistance suppression of hypermutable Pseudomonas aeruginosa in a dynamic biofilm model [Pharmacology]

Treatment of exacerbations of chronic Pseudomonas aeruginosa infections in patients with cystic fibrosis (CF) is highly challenging due to hypermutability, biofilm formation and an increased risk of resistance emergence. We evaluated the impact of ciprofloxacin and meropenem as monotherapy and in combination in the dynamic in vitro CDC biofilm reactor (CBR). Two hypermutable P. aeruginosa strains, PAOmutS (MICciprofloxacin 0.25 mg/L, MICmeropenem 2 mg/L) and CW44 (MICciprofloxacin 0.5 mg/L, MICmeropenem 4 mg/L), were investigated for 120h. Concentration-time profiles achievable in epithelial lining fluid (ELF) following FDA-approved doses were simulated in the CBR. Treatments were ciprofloxacin 0.4g every 8h as 1h-infusions (80% ELF penetration), meropenem 6 g/day as continuous infusion (CI; 30% and 60% ELF penetration) and their combinations. Counts of total and less-susceptible planktonic and biofilm bacteria and MICs were determined. Antibiotic concentrations were quantified by UHPLC-PDA. For both strains, all monotherapies failed with substantial regrowth and resistance of planktonic (≥8log10 CFU/mL) and biofilm (>8log10 CFU/cm2) bacteria at 120h (MICciprofloxacin up to 8 mg/L, MICmeropenem up to 64 mg/L). Both combination treatments demonstrated synergistic bacterial killing of planktonic and biofilm bacteria of both strains from ~48h onwards and suppressed regrowth to ≤4log10 CFU/mL and ≤6log10 CFU/cm2 at 120h. Overall, both combination treatments suppressed amplification of resistance of planktonic bacteria for both strains, and biofilm bacteria for CW44. The combination with meropenem at 60% ELF penetration also suppressed amplification of resistance of biofilm bacteria for PAOmutS. Thus, combination treatment demonstrated synergistic bacterial killing and resistance suppression against difficult-to-treat hypermutable P. aeruginosa strains.




syn

Synology DiskStation DS419slim

The Synology DiskStation DS419slim is a small but powerful four-bay network-attached storage device that offers many of the same features found on bigger, more expensive models.




syn

A CONTROLLED TRIAL OF ANTEPARTUM GLUCOCORTICOID TREATMENT FOR PREVENTION OF THE RESPIRATORY DISTRESS SYNDROME IN PREMATURE INFANTS

G. C. Liggins
Oct 1, 1972; 50:515-525
ARTICLES




syn

Palivizumab, a Humanized Respiratory Syncytial Virus Monoclonal Antibody, Reduces Hospitalization From Respiratory Syncytial Virus Infection in High-risk Infants

The IMpact-RSV Study Group
Sep 1, 1998; 102:531-537
ARTICLES




syn

PHP Async HTTP Client Benchmarks (New)

Package:
Summary:
Measure the performance of different HTTP clients
Groups:
Author:
Description:
This package can measure the performance of different HTTP clients...

Read more at https://www.phpclasses.org/package/11636-PHP-Measure-the-performance-of-different-HTTP-clients.html




syn

A new article, "Advancing Monodzukuri (manufacturing) through synergetic innovation" has been added to "Stories".



  • Technology & Design

syn

Meet T22 Synthesis: A motorcycle with two 2-stroke engines, inspired by 1950s’ twin-engined Triumph




syn

~$CPIL$384903$title$textbox$Zoetis launches new vaccine for porcine reproductive and respiratory syndrome$/CPIL$~




syn

Cadence Genus Synthesis Solution – the Next Generation of RTL Synthesis

Physical synthesis has been around in various forms for many years. The basic idea is to bring some awareness of physical layout into synthesis. This week (June 3, 2015) Cadence is rolling out the Genus™ Synthesis Solution, a next-generation RTL synthesis tool that takes physical awareness in some new directions.

Here are four important things to know about Genus technology:

  • A massively parallel architecture improves turnaround time by up to 5X while maintaining quality of results
  • The Genus solution synthesizes up to 10M+ instances flat without impacting power, performance and area (PPA)
  • The Genus solution provides tight correlation with the Innovus Implementation System, using the same placement and routing algorithms
  • Globally focused PPA optimization saves up to 20% datapath area and power

Compared to previous-generation products such as the Cadence Encounter RTL Compiler Advanced Physical Option, the Genus solution approaches physical synthesis in a different way. The Encounter solution applied physical optimization “at the tail end of synthesis,” said David Stratman, senior principal product manager at Cadence. “We were doing a final incremental push, but we could only do so much, since we had locked in a lot of the earlier steps from a logical-only synthesis perspective.”

Genus Synthesis Solution supports the physical synthesis features in the previous Encounter solution, but it also brings the full physical scope upstream to RTL logic designers. “It’s going to enable the unit-level RTL designer to gain the benefits of physical synthesis without having to understand it,” Stratman said. As an example, users can apply generic (unmapped) placement at the earliest stages of synthesis, using a lightweight version of the Innovus placement engine. The bottom line: “Genus is a full solution where every step of synthesis can be done physically.”

Getting Massively Parallel

If you bring physical data into synthesis, you need a way to improve capacity and runtimes, especially with today’s gigantic advance-node SoCs. That’s why a massively parallel architecture is the cornerstone of the Genus solution. In this way, the Genus solution is following in the footsteps of the Innovus Implementation System, which also provides a massively parallel architecture.

Both the Innovus and Genus solutions can handle blocks of 10M instances flat. Given that SoCs today may have up to 100M instances, and often up to 50-100 top-level blocks, this is an important capability. Many tools today will only handle blocks of 1M instances. As a result, design teams often have to constrain block sizes.

Genus technology offers timing-driven, multi-level design partitioning across multiple threads and machines. It enables a near-linear runtime scaling without impacting PPA. According to Stratman, the Genus solution will scale well beyond 64 CPUs for a large design, with a “sweet spot” around 8-20 CPUs for today’s typical block sizes. Runs that used to take days, he noted, can now be done in hours.

As shown below, Genus technology leverages parallelism at three levels. The Genus solution can distribute design partitions to multiple threads or CPUs, and also supports local algorithm-level multithreading on each machine with shared memory. An adaptive scheduler ensures the best use of the available CPUs.


Fig. 1 – Genus Synthesis Solution provides three levels of parallelism

With its massive parallelism, Stratman said, Genus technology can obtain production-level quality of results (QoR) in runtimes typically seen in “prototype-level” synthesis runs. The “secret sauce,” he said, is in the partitioning. Cadence has found a way to generate partitions in a way that “slices the design more intelligently, and takes advantage of the Genus database to merge partitions without losing timing, power, or area,” Stratman said.

Playing in the Sandbox

In the Genus Synthesis Solution, a process called “sandboxing” allows any subset or partition of a design to be extracted along with full timing and a physical context. Optimization algorithms will treat a sandbox as a complete design.

The “Clipper” flow clips out or extracts the context of the larger SoC blocks. “It’s kind of a skeleton floorplan but it has all the timing information,” Stratman said. These extracted contexts include all the critical physical information to make the right RTL synthesis choices at the unit level. This information is used to streamline the handoffs between unit-level RTL designers, integration engineers, and implementation engineers. It’s a way for logic designers to gain some physical knowledge without having to be a physical synthesis expert, or without having to run a full top-level synthesis.

Fig. 2 – Clipper flow provides context for unit-level blocks

Correlation with Innovus Implementation System

Although Genus technology can work with third-party IC implementation systems, it shares algorithms and engines with Innovus Implementation System, as well as a common user interface. As shown below, both the Genus and Innovus solutions use a table-based Quantus QRC parasitic extraction, effective current source model (ECSM) and composite current source (CCS) delay calculations, and a unified global routing engine. Timing and wire length claim a 5% correlation.

Fig. 3 – Genus Synthesis Solution offers tight correlation with Innovus Implementation System

Genus technology doesn’t model everything to the same level of accuracy as the Innovus solution, however. “We chose to be lighter weight and more nimble to get expected runtimes,” Stratman said. A tight correlation is possible because the Genus and Innovus solutions use a similar code base. This correlation will be tighter than that between Encounter RTL Compiler Advanced Physical Option and the Encounter Digital Implementation System today.

Genus Synthesis Solution uses a new Hybrid Global Router that provides the ability to resolve congestion and construct layer-aware, timing-driven wire topologies. This accelerates analysis and debug, and reduces iterations. Users can avoid blockages and see a full Manhattan route as opposed to “flight lines.” Layer awareness is particularly important, given the large RC variations within the metal stack at advanced process nodes.

A version of the Innovus GigaPlace engine is available within the Genus solution. Here, users can do an RTL-level generic gate placement early in the synthesis flow (“generic gate” means there is no mapping into standard cell libraries, but there’s still an area estimate). This helps designers understand PPA tradeoffs earlier.

While users can go all the way to a design-rule “legal” placement with Genus Synthesis Solution, this isn’t generally recommended. “You can do a placement and use the same algorithms as GigaPlace and get a nice correlation without all the runtimes and additional steps of doing a fully legal placement,” Stratman said.

So where does Genus technology end and Innovus technology begin? That’s up to the user. You could use the Genus solution for logical synthesis and run all physical implementation in the Innovus system. If you run physical synthesis within the Genus solution, there’s more work earlier in the flow, but you get better insights into downstream problems and reduce iterations.

“Physical synthesis should be no more than 2X [runtime] of logic synthesis,” Stratman said. “All of the runtime that moves up should be shaved off of the place-and-route stages, because now you can do lightweight incremental optimization and incremental placement. The overall flow should be runtime neutral or better.”

Be Globally Aware

Finally, Genus Synthesis Solution offers a globally focused early PPA optimization across the whole datapath, delivering up to a 20% area reduction in the datapath. Stratman noted that this capability is a follow-on to an RCP feature called “globally focused mapping” that can determine the best cells to use in a library. What’s new with the Genus solution is that this concept has been applied at the arithmetic level.

For example, there are many ways to configure a multiplier – you may want to prioritize speed, power, or size. In the past, Stratman noted, synthesis tools have not been very good at globally optimizing the architecture selection for PPA optimization. “We can [now] find the most efficient global datapath implementation for a given region,” he said.

For further information about the Cadence Genus Synthesis Solution, including a datasheet and technical product brief, see this landing page.

Richard Goering

Related Blog Posts

Designer View – RTL Synthesis Success Strategies at 28nm and Below

Front-End Design Summit: The Future of RTL Synthesis and Design for Test

Physically-Aware Synthesis Helps Design a New Computer Architecture

 




syn

Post-synthesis Simulation Failing when lp_insert_clock_gating true

When I enable clock gating in my synthesis flow (using Genus 18.15), my simulation (using Xcelium) on the post-synthesis netlist fails. The simulation succeeds pre-synthesis and also if I remove clock-gating in the design. I use set_db  lp_insert_clock_gating true to enable clock gating during synthesis. I printed out some of the signals from the netlist and can see where it fails (it incorrectly writes a register). However, I am not sure how to solve this issue or what I should be looking for. Any help would be appreciated. Thanks.




syn

About SDF file after synthesis in Genus Tool

hello sir this is Ganesh  from NIT Hamirpur pursuing MTech in VLSI. I have doubt regarding SDF i'm using genus tool for synthesis & after synthesis when i'm generating SDF it is giving delays by default for maximum values but i want all the delays like minimum:Typical:Maximum how can i do this. Is there any provision to set PVT values manually for SDF generation so that i can get all the delay values.




syn

Whiteboard Wednesdays - Low Power SoC Design with High-Level Synthesis

In this week’s Whiteboard Wednesdays video, Dave Apte discusses how to create the lowest power design possible by using architectural exploration and Cadence’s Stratus HLS solution....

[[ Click on the title to access the full blog on the Cadence Community site. ]]




syn

A Specman/e Syntax for Sublime Text 3

We're happy to have guest blogger Thorsten Dworzak, Principal Consultant at Verilab GmbH, describe how he added Specman/e syntax to Sublime Text 3:

According to the 2018 StackOverflow Developer Survey, the popularity of development environments (IDEs, Text Editors) among software developers shows the following ranking:

  1. Visual Studio Code 34.9%
  2. Visual Studio 34.3%
  3. Notepad++ 34.2%
  4. Sublime Text 28.9%
  5. Vim 25.8%
  6. IntelliJ 24.9%
  7. Android Studio 19.3%
  8. (DVT) Eclipse 18.9%
  1. Emacs 4.1%

Of these, only Vim, (DVT) Eclipse, and Emacs support editing in e-language (at least, last time I checked). Kate, which comes with KDE and also has a Specman mode, is not on this list.

I started using Sublime Text 3 some time ago. It offers packages that support a number of programming languages.

Though there is an e-language syntax available from Tsvi Mostovicz, it is unfinished work, and there are many syntactic constructs are missing. So, I created a fork of his project and finished it (it will eventually be merged back here).

It is a never-ending task because my code base for testing is limited and e is still undergoing development. The project is available through ST3's Package Control and you can contribute to it via Github.

I am eagerly waiting for your pull requests and/or comments and contributions!




syn

Post synthesis simulation with XCELIUM - SDF

hi,

due to technical problem i am running simulation through terminal. Therefore, I have a Verilog file, a test bench and i have also exported from Genus synthesized netlist and sdf file. Now, how can i annotate sdf in my post-synthesis simulation using XCELIUM while using command line?

thank you




syn

Error: CMFBC-1 The schematic and the layout constraints were not synchronized

Hi, I am in the middle of a design and had no problem going back and forth between schematics and layout. Now I am getting the error message below. I am using Cadence 17.2.

ERROR: Layout database has probably been reverted to an earlier version than that, which was used in the latest flow or the schematic database was synchronized with another board.

The basecopy file generated by the last back-to-front flow not found.

ERROR: Layout database has probably been reverted to an earlier version than that, which was used in the latest flow or the schematic database was synchronized with another board.

The basecopy file generated by the last back-to-front flow not found.

Error: CMFBC-1: The schematic and the layout constraints were not synchronized as the changes done since the last sync up could not be reconciled. Syncing the current version of the schematic or layout databases with a previous version would result in this issue. The  constraint difference report is displayed.

Continuing with "changes-only" processing may result in incorrect constraint updates.

Thanks for your input

Claudia




syn

Are You Stuck While Synthesizing Your Design Due to Low-Power Issues? We Have the Solution!

Optimizing power can be a very convoluted and crucial process. To make design chips meet throughput goals along with optimal power consumption, you need to plan right from the beginning! (read more)




syn

Genus Synthesis Solution – Introduction to Stylus Common UI

The Cadence® Genus  Synthesis Solution, Innovus  Implementation System, and Tempus  Timing Signoff Solution have a lot of shared functionality, but in the past, the separate legacy user interfaces (UIs) created a lot of differences.

A new common user interface that the Genus solution shares with the Innovus and Tempus solutions streamlines flow development and simplifies usability across the complete Cadence digital flow. The Stylus Common UI provides a next-generation synthesis-to-signoff flow with unified database access, MMMC timing configuration and reporting, and low-power design initialization.

This webinar answers the following questions:

  • What is the Stylus Common UI and why did Cadence develop it?
  • How does someone invoke and use the Stylus Common UI?
  • What are some of the important and useful features of the Stylus Common UI?
  • What are key ways the Stylus Common UI is different from the Legacy UI?

If you want to learn more about Stylus UI in the context of Genus Synthesis Solution, refer to 45-minute recorded webinar on https://support.cadence.com (Cadence login required).

Video Title: Webinar: Genus Synthesis Solution—Introduction to the Stylus Common UI (Video)

Direct Link: https://support.cadence.com/apex/ArticleAttachmentPortal?id=a1O0V000009MoGIUA0&pageName=ArticleContent

Related Resources

If interested in the full course, including lab content, please contact your Cadence representative or email a request to training_enroll@cadence.com. You can also enroll in the course on http://learning.cadence.com.​

Enhance the Genus Synthesis experience with videos: Genus Synthesis Solution: Video Library

For any questions, general feedback, or future blog topic suggestions, please leave a comment. 




syn

Active Directory DCSync

This is a whitepaper that discusses using DCSync to pull password hashes from a domain controller.




syn

Druva inSync Windows Client 6.5.2 Privilege Escalation

Druva inSync Windows Client version 6.5.2 suffers from a local privilege escalation vulnerability.




syn

Synaccess netBooter NP-02x / NP-08x 6.8 Authentication Bypass

Synaccess netBooter NP-02x and NP-08x version 6.8 suffer from an authentication bypass vulnerability due to a missing control check when calling the webNewAcct.cgi script while creating users. This allows an unauthenticated attacker to create an admin user account and bypass authentication giving her the power to turn off a power supply to a resource.




syn

Flynn dismissal a surprise? AG Barr in sync with Trump

WASHINGTON (AP) — President Donald Trump says he didn’t know the Justice Department was planning to drop its case against his former national security adviser, Michael Flynn. But it didn't really matter. The extraordinary action underscored the extent to which Trump and Attorney General William Barr have been in sync in their views on the federal Trump-Russia investigation — with or without communicating about it. Barr himself has openly challenged the decisions of predecessors and his own prosecutors. He's launched internal probes to investigate the investigators. Trump is emphatically welcoming the Flynn action. He has relentlessly railed against the special counsel's...




syn

Child in New York dies and rare syndrome tied to coronavirus is suspected

A child died in a New York City hospital this week from what appeared to be a rare syndrome linked to the coronavirus that causes life-threatening inflammation in critical organs. ......




syn

Inerrancy and Evangelical Syncretism

Among evangelical Christians, the word syncretism usually conjures thoughts of third-world missionaries who blend their religion with the indigenous pagan practices they encounter. A visitor to my home church related a conversation he’d had with a Roman Catholic missionary while touring South America. The priest wore his syncretistic practices as a badge of honor, boasting of how he intentionally incorporated native religious observances into his worship services. He was critical of Protestant missionaries who refused to likewise accommodate the paganism of the people they ministered to.

READ MORE




syn

Evangelical Syncretism: The Genesis Crisis

Most of us are familiar with politicians who obfuscate simple questions with complex political answers. Who can forget Bill Clinton’s “It depends upon what the meaning of the word ‘is’ is”? Unfortunately, obfuscation exists in the realm of theology as well. God may not be “a God of confusion” (1 Corinthians 14:33), but there are scores of biblical scholars, theologians, and pastors who insert plenty of it into the first few chapters of Genesis.

READ MORE




syn

Evangelical Syncretism: Submitting to Feminism

It is no coincidence that the rise of feminism in the twentieth century paralleled an unprecedented push for female clergy in Western churches. With the shifting views and priorities of the culture, the timeless biblical truths of male headship and church leadership were suddenly under attack.

READ MORE




syn

Evangelical Syncretism: Therapeutic Confusion

The language of therapy has a stranglehold on our culture. Children don’t lie anymore, they tell stories. Serial adulterers have been re-branded as sex addicts. Drunkenness is now an alcohol disorder—in fact, addiction itself is treated like a disease. Even the gross perversion of pedophilia is listed as a psychiatric disorder in the ever-expanding Diagnostic and Statistical Manual of Mental Disorders (DSM-5).

READ MORE




syn

Evangelical Syncretism: Rethinking the Reformation

Ecumenism is nothing new. Satan always works to mingle the truth with error, and the evangelistic co-belligerence of evangelicals and Catholics is just one example. But for some reason, this unlikely doctrinal mashup has been growing in popularity for the past two decades.

READ MORE




syn

Evangelical Syncretism: Seeker vs. Sinner

Does an unregenerate man bear a spark of the divine that draws him to a relationship with God, or is he utterly lost in the total depravity of his sin nature? While that might seem like an obscure theological question, don’t dismiss it as merely fodder for academic debates. It’s an immensely practical question—with implications for the church and for your own life. And it’s at the heart of the consumer-driven movement in the church, commonly known as seeker sensitivity.

READ MORE




syn

Evangelical Syncretism: The Inflexibility of Inerrancy

In October 1978, 334 evangelical leaders gathered in the city of Chicago to formulate what is now known as the Chicago Statement on Biblical Inerrancy. One of the younger attendees at that gathering was John MacArthur, who was just shy of a decade into his pastorate at Grace Community Church.

READ MORE




syn

HARMAN Launches Limited Edition A.R.Rahman Autographed JBL Raaga Synchros Headphones In India

BANGALORE, INDIA –  HARMAN (NYSE:HAR), the premier connected technologies company for automotive, consumer and enterprise markets, today announced the launch of limited edition JBL® Raaga Synchros S500AR headphones, autographed by academy award winner...




syn

Male bottlenose dolphins synchronise their calls to attract females

Bottlenose dolphins in Shark Bay, Australia, form alliances and coordinate the timing of their clicking noises to attract females and deter other males




syn

Brain Scans Spot Possible Clues to Chronic Fatigue Syndrome

Title: Brain Scans Spot Possible Clues to Chronic Fatigue Syndrome
Category: Health News
Created: 5/2/2014 12:35:00 PM
Last Editorial Review: 5/2/2014 12:00:00 AM




syn

Helmets Not Helpful for Babies With 'Flat Head' Syndrome: Study

Title: Helmets Not Helpful for Babies With 'Flat Head' Syndrome: Study
Category: Health News
Created: 5/2/2014 9:35:00 AM
Last Editorial Review: 5/2/2014 12:00:00 AM




syn

Blood Test Might Diagnose Chronic Fatigue Syndrome

Title: Blood Test Might Diagnose Chronic Fatigue Syndrome
Category: Health News
Created: 4/30/2019 12:00:00 AM
Last Editorial Review: 5/1/2019 12:00:00 AM




syn

Male-Hormone Gene May Help Cause Polycystic Ovary Syndrome

Title: Male-Hormone Gene May Help Cause Polycystic Ovary Syndrome
Category: Health News
Created: 5/1/2019 12:00:00 AM
Last Editorial Review: 5/2/2019 12:00:00 AM




syn

Temporomandibular Joint Syndrome (TMJ)

Title: Temporomandibular Joint Syndrome (TMJ)
Category: Diseases and Conditions
Created: 3/26/1998 12:00:00 AM
Last Editorial Review: 3/27/2020 12:00:00 AM




syn

KoreaMed Synapse Adds PubReader as a Display Option

KoreaMed Synapse, a digital archive and reference linking platform of Korean medical journals, is now using NCBI’s new PubReader presentation style to display their full-text journal articles. KoreaMed’s database of 122 journals now includes a blue ‘PubReader’ icon for each full-text article. NCBI launched PubReader in December 2012 as a convenient new way to view full-text articles in PubMed Central on desktops as well as tablets and mobile devices. In tandem with the launch, NCBI made the code used to create PubReader freely available on GitHub.




syn

Iliotibial (IT) Band Syndrome

Title: Iliotibial (IT) Band Syndrome
Category: Diseases and Conditions
Created: 4/18/2011 12:00:00 AM
Last Editorial Review: 3/10/2020 12:00:00 AM




syn

Hantavirus Pulmonary Syndrome (HPS)

Title: Hantavirus Pulmonary Syndrome (HPS)
Category: Diseases and Conditions
Created: 4/21/2010 12:00:00 AM
Last Editorial Review: 3/24/2020 12:00:00 AM




syn

Hepatic monoamine oxidase B is involved in endogenous geranylgeranoic acid synthesis in mammalian liver cells [Research Articles]

Geranylgeranoic acid (GGA) originally was identified in some animals and has been developed as an agent for preventing second primary hepatoma. We previously have also identified GGA as an acyclic diterpenoid in some medicinal herbs. Recently, we reported that in human hepatoma-derived HuH-7 cells, GGA is metabolically labeled from 13C-mevalonate. Several cell-free experiments have demonstrated that GGA is synthesized through geranylgeranial by oxygen-dependent oxidation of geranylgeraniol (GGOH), but the exact biochemical events giving rise to GGA in hepatoma cells remain unclear. Monoamine oxidase B (MOAB) has been suggested to be involved in GGOH oxidation. Here, using two human hepatoma cell lines, we investigated whether MAOB contributes to GGA biosynthesis. Using either HuH-7 cell lysates or recombinant human MAOB, we found that: 1) the MAO inhibitor tranylcypromine dose-dependently downregulates endogenous GGA levels in HuH-7 cells; and 2) siRNA-mediated MAOB silencing reduces intracellular GGA levels in HuH-7 and Hep3B cells. Unexpectedly, however, CRISPR/Cas9-generated MAOB-KO human hepatoma Hep3B cells had GGA levels similar to those in MAOB-WT cells. A sensitivity of GGA levels to siRNA-mediated MAOB downregulation was recovered when the MAOB-KO cells were transfected with a MAOB-expression plasmid, suggesting that MAOB is the enzyme primarily responsible for GGOH oxidation and that some other latent metabolic pathways may maintain endogenous GGA levels in the MAOB-KO hepatoma cells. Along with the previous findings, these results provide critical insights into the biological roles of human MAOB and provide evidence that hepatic MAOB is involved in endogenous GGA biosynthesis via GGOH oxidation.




syn

Schnyder corneal dystrophy-associated UBIAD1 is defective in MK-4 synthesis and resists autophagy-mediated degradation [Research Articles]

The autosomal dominant disorder Schnyder corneal dystrophy (SCD) is caused by mutations in UbiA prenyltransferase domain-containing protein-1 (UBIAD1), which uses geranylgeranyl pyrophosphate (GGpp) to synthesize the vitamin K2 subtype menaquinone-4 (MK-4). SCD is characterized by opacification of the cornea, owing to aberrant build-up of cholesterol in the tissue. We previously discovered that sterols stimulate association of UBIAD1 with ER-localized HMG-CoA reductase, which catalyzes a rate-limiting step in the synthesis of cholesterol and nonsterol isoprenoids, including GGpp. Binding to UBIAD1 inhibits sterol-accelerated ER-associated degradation (ERAD) of reductase and permits continued synthesis of GGpp in cholesterol-replete cells. GGpp disrupts UBIAD1-reductase binding and thereby allows for maximal ERAD of reductase as well as ER-to-Golgi translocation of UBIAD1. SCD-associated UBIAD1 is refractory to GGpp-mediated dissociation from reductase and remains sequestered in the ER to inhibit ERAD. Here, we report development of a biochemical assay for UBIAD1-mediated synthesis of MK-4 in isolated membranes and intact cells. Using this assay, we compared enzymatic activity of WT UBIAD1 with that of SCD-associated variants. Our studies revealed that SCD-associated UBIAD1 exhibited reduced MK-4 synthetic activity, which may result from its reduced affinity for GGpp. Sequestration in the ER protects SCD-associated UBIAD1 from autophagy and allows intracellular accumulation of the mutant protein, which amplifies the inhibitory effect on reductase ERAD. These findings have important implications not only for the understanding of SCD etiology but also for the efficacy of cholesterol-lowering statin therapy, which becomes limited, in part, because of UBIAD1-mediated inhibition of reductase ERAD.




syn

In Vivo Assay Reveals Microbial OleA Thiolases Initiating Hydrocarbon and {beta}-Lactone Biosynthesis

ABSTRACT

OleA, a member of the thiolase superfamily, is known to catalyze the Claisen condensation of long-chain acyl coenzyme A (acyl-CoA) substrates, initiating metabolic pathways in bacteria for the production of membrane lipids and β-lactone natural products. OleA homologs are found in diverse bacterial phyla, but to date, only one homodimeric OleA has been successfully purified to homogeneity and characterized in vitro. A major impediment for the identification of new OleA enzymes has been protein instability and time-consuming in vitro assays. Here, we developed a bioinformatic pipeline to identify OleA homologs and a new rapid assay to screen OleA enzyme activity in vivo and map their taxonomic diversity. The screen is based on the discovery that OleA displayed surprisingly high rates of p-nitrophenyl ester hydrolysis, an activity not shared by other thiolases, including FabH. The high rates allowed activity to be determined in vitro and with heterologously expressed OleA in vivo via the release of the yellow p-nitrophenol product. Seventy-four putative oleA genes identified in the genomes of diverse bacteria were heterologously expressed in Escherichia coli, and 25 showed activity with p-nitrophenyl esters. The OleA proteins tested were encoded in variable genomic contexts from seven different phyla and are predicted to function in distinct membrane lipid and β-lactone natural product metabolic pathways. This study highlights the diversity of unstudied OleA proteins and presents a rapid method for their identification and characterization.

IMPORTANCE Microbially produced β-lactones are found in antibiotic, antitumor, and antiobesity drugs. Long-chain olefinic membrane hydrocarbons have potential utility as fuels and specialty chemicals. The metabolic pathway to both end products share bacterial enzymes denoted as OleA, OleC, and OleD that transform acyl-CoA cellular intermediates into β-lactones. Bacteria producing membrane hydrocarbons via the Ole pathway additionally express a β-lactone decarboxylase, OleB. Both β-lactone and olefin biosynthesis pathways are initiated by OleA enzymes that define the overall structure of the final product. There is currently very limited information on OleA enzymes apart from the single representative from Xanthomonas campestris. In this study, bioinformatic analysis identified hundreds of new, putative OleA proteins, 74 proteins were screened via a rapid whole-cell method, leading to the identification of 25 stably expressed OleA proteins representing seven bacteria phyla.




syn

The Absence of (p)ppGpp Renders Initiation of Escherichia coli Chromosomal DNA Synthesis Independent of Growth Rates

ABSTRACT

The initiation of Escherichia coli chromosomal DNA replication starts with the oligomerization of the DnaA protein at repeat sequences within the origin (ori) region. The amount of ori DNA per cell directly correlates with the growth rate. During fast growth, the cell generation time is shorter than the time required for complete DNA replication; therefore, overlapping rounds of chromosome replication are required. Under these circumstances, the ori region DNA abundance exceeds the DNA abundance in the termination (ter) region. Here, high ori/ter ratios are found to persist in (p)ppGpp-deficient [(p)ppGpp0] cells over a wide range of balanced exponential growth rates determined by medium composition. Evidently, (p)ppGpp is necessary to maintain the usual correlation of slow DNA replication initiation with a low growth rate. Conversely, ori/ter ratios are lowered when cell growth is slowed by incrementally increasing even low constitutive basal levels of (p)ppGpp without stress, as if (p)ppGpp alone is sufficient for this response. There are several previous reports of (p)ppGpp inhibition of chromosomal DNA synthesis initiation that occurs with very high levels of (p)ppGpp that stop growth, as during the stringent starvation response or during serine hydroxamate treatment. This work suggests that low physiological levels of (p)ppGpp have significant functions in growing cells without stress through a mechanism involving negative supercoiling, which is likely mediated by (p)ppGpp regulation of DNA gyrase.

IMPORTANCE Bacterial cells regulate their own chromosomal DNA synthesis and cell division depending on the growth conditions, producing more DNA when growing in nutritionally rich media than in poor media (i.e., human gut versus water reservoir). The accumulation of the nucleotide analog (p)ppGpp is usually viewed as serving to warn cells of impending peril due to otherwise lethal sources of stress, which stops growth and inhibits DNA, RNA, and protein synthesis. This work importantly finds that small physiological changes in (p)ppGpp basal levels associated with slow balanced exponential growth incrementally inhibit the intricate process of initiation of chromosomal DNA synthesis. Without (p)ppGpp, initiations mimic the high rates present during fast growth. Here, we report that the effect of (p)ppGpp may be due to the regulation of the expression of gyrase, an important enzyme for the replication of DNA that is a current target of several antibiotics.




syn

Erratum for Townsend et al., "A Master Regulator of Bacteroides thetaiotaomicron Gut Colonization Controls Carbohydrate Utilization and an Alternative Protein Synthesis Factor"




syn

The Mitochondrial Calcium Uniporter Interacts with Subunit c of the ATP Synthase of Trypanosomes and Humans

ABSTRACT

Mitochondrial Ca2+ transport mediated by the uniporter complex (MCUC) plays a key role in the regulation of cell bioenergetics in both trypanosomes and mammals. Here we report that Trypanosoma brucei MCU (TbMCU) subunits interact with subunit c of the mitochondrial ATP synthase (ATPc), as determined by coimmunoprecipitation and split-ubiquitin membrane-based yeast two-hybrid (MYTH) assays. Mutagenesis analysis in combination with MYTH assays suggested that transmembrane helices (TMHs) are determinants of this specific interaction. In situ tagging, followed by immunoprecipitation and immunofluorescence microscopy, revealed that T. brucei ATPc (TbATPc) coimmunoprecipitates with TbMCUC subunits and colocalizes with them to the mitochondria. Blue native PAGE and immunodetection analyses indicated that the TbMCUC is present together with the ATP synthase in a large protein complex with a molecular weight of approximately 900 kDa. Ablation of the TbMCUC subunits by RNA interference (RNAi) significantly increased the AMP/ATP ratio, revealing the downregulation of ATP production in the cells. Interestingly, the direct physical MCU-ATPc interaction is conserved in Trypanosoma cruzi and human cells. Specific interaction between human MCU (HsMCU) and human ATPc (HsATPc) was confirmed in vitro by mutagenesis and MYTH assays and in vivo by coimmunoprecipitation. In summary, our study has identified that MCU complex physically interacts with mitochondrial ATP synthase, possibly forming an MCUC-ATP megacomplex that couples ADP and Pi transport with ATP synthesis, a process that is stimulated by Ca2+ in trypanosomes and human cells.

IMPORTANCE The mitochondrial calcium uniporter (MCU) is essential for the regulation of oxidative phosphorylation in mammalian cells, and we have shown that in Trypanosoma brucei, the etiologic agent of sleeping sickness, this channel is essential for its survival and infectivity. Here we reveal that that Trypanosoma brucei MCU subunits interact with subunit c of the mitochondrial ATP synthase (ATPc). Interestingly, the direct physical MCU-ATPc interaction is conserved in T. cruzi and human cells.