synthesis

Targeting Fibroblast Activation Protein:Radiosynthesis and Preclinical Evaluation of an 18F-labeled FAP Inhibitor

Fibroblast activation protein (FAP) has emerged as an interesting molecular target used in the imaging and therapy of various types of cancers. Gallium-68–labeled chelator-linked FAP inhibitors (FAPIs) have been successfully applied to positron emission tomography (PET) imaging of various tumor types. To broaden the spectrum of applicable PET tracers for extended imaging studies of FAP-dependent diseases, we herein report the radiosynthesis and preclinical evaluation of an 18F–labeled glycosylated FAP inhibitor ([18F]FGlc-FAPI). Methods: An alkyne-bearing precursor was synthesized and subjected to click chemistry–based radiosynthesis of [18F]FGlc-FAPI by two-step 18F-fluoroglycosylation. FAP-expressing HT1080hFAP cells were used to study competitive binding to FAP, cellular uptake, internalization, and efflux of [18F]FGlc-FAPI in vitro. Biodistribution studies and in vivo small animal PET studies of [18F]FGlc-FAPI compared to [68Ga]Ga-FAPI-04 were conducted in nude mice bearing HT1080hFAP tumors or U87MG xenografts. Results: [18F]FGlc-FAPI was synthesized with a 15% radioactivity yield and a high radiochemical purity of >99%. In HT1080hFAP cells, [18F]FGlc-FAPI showed specific uptake, a high internalized fraction, and low cellular efflux. Compared to FAPI-04 (IC50 = 32 nM), the glycoconjugate, FGlc-FAPI (IC50 = 167 nM), showed slightly lower affinity for FAP in vitro, while plasma protein binding was higher for [18F]FGlc-FAPI. Biodistribution studies revealed significant hepatobiliary excretion of [18F]FGlc-FAPI; however, small animal PET studies in HT1080hFAP xenografts showed higher specific tumor uptake of [18F]FGlc-FAPI (4.5 % injected dose per gram of tissue [ID/g]) compared to [68Ga]Ga-FAPI-04 (2 %ID/g). In U87MG tumor–bearing mice, both tracers showed similar tumor uptake, but [18F]FGlc-FAPI showed a higher tumor retention. Interestingly, [18F]FGlc-FAPI demonstrated high specific uptake in bone structures and joints. Conclusion: [18F]FGlc-FAPI is an interesting candidate for translation to the clinic, taking advantage of the longer half-life and physical imaging properties of F-18. The availability of [18F]FGlc-FAPI may allow extended PET studies of FAP-related diseases, such as cancer, but also arthritis, heart diseases, or pulmonary fibrosis.




synthesis

Interaction Proteomics Identifies ERbeta Association with Chromatin Repressive Complexes to Inhibit Cholesterol Biosynthesis and Exert An Oncosuppressive Role in Triple-negative Breast Cancer [Research]

Triple-negative breast cancer (TNBC) is characterized by poor response to therapy and low overall patient survival. Recently, Estrogen Receptor beta (ERβ) has been found to be expressed in a fraction of TNBCs where, because of its oncosuppressive actions on the genome, it represents a potential therapeutic target, provided a better understanding of its actions in these tumors becomes available. To this end, the cell lines Hs 578T, MDA-MB-468 and HCC1806, representing the claudin-low, basal-like 1 and 2 TNBC molecular subtypes respectively, were engineered to express ERβ under the control of a Tetracycline-inducible promoter and used to investigate the effects of this transcription factor on gene activity. The antiproliferative effects of ERβ in these cells were confirmed by multiple functional approaches, including transcriptome profiling and global mapping of receptor binding sites in the genome, that revealed direct negative regulation by ERβ of genes, encoding for key components of cellular pathways associated to TNBC aggressiveness representing novel therapeutic targets such as angiogenesis, invasion, metastasis and cholesterol biosynthesis. Supporting these results, interaction proteomics by immunoprecipitation coupled to nano LC-MS/MS mass spectrometry revealed ERβ association with several potential nuclear protein partners, including key components of regulatory complexes known to control chromatin remodeling, transcriptional and post-transcriptional gene regulation and RNA splicing. Among these, ERβ association with the Polycomb Repressor Complexes 1 and 2 (PRC1/2), known for their central role in gene regulation in cancer cells, was confirmed in all three TNBC subtypes investigated, suggesting its occurrence independently from the cellular context. These results demonstrate a significant impact of ERβ in TNBC genome activity mediated by its cooperation with regulatory multiprotein chromatin remodeling complexes, providing novel ground to devise new strategies for the treatment of these diseases based on ligands affecting the activity of this nuclear receptor or some of its protein partners.




synthesis

Biosynthesis of depsipeptides with a 3-hydroxybenzoate moiety and selective anticancer activities involves a chorismatase [Metabolism]

Neoantimycins are anticancer compounds of 15-membered ring antimycin-type depsipeptides. They are biosynthesized by a hybrid multimodular protein complex of nonribosomal peptide synthetase (NRPS) and polyketide synthase (PKS), typically from the starting precursor 3-formamidosalicylate. Examining fermentation extracts of Streptomyces conglobatus, here we discovered four new neoantimycin analogs, unantimycins B–E, in which 3-formamidosalicylates are replaced by an unusual 3-hydroxybenzoate (3-HBA) moiety. Unantimycins B–E exhibited levels of anticancer activities similar to those of the chemotherapeutic drug cisplatin in human lung cancer, colorectal cancer, and melanoma cells. Notably, they mostly displayed no significant toxicity toward noncancerous cells, unlike the serious toxicities generally reported for antimycin-type natural products. Using site-directed mutagenesis and heterologous expression, we found that unantimycin productions are correlated with the activity of a chorismatase homolog, the nat-hyg5 gene, from a type I PKS gene cluster. Biochemical analysis confirmed that the catalytic activity of Nat-hyg5 generates 3-HBA from chorismate. Finally, we achieved selective production of unantimycins B and C by engineering a chassis host. On the basis of these findings, we propose that unantimycin biosynthesis is directed by the neoantimycin-producing NRPS–PKS complex and initiated with the starter unit of 3-HBA. The elucidation of the biosynthetic unantimycin pathway reported here paves the way to improve the yield of these compounds for evaluation in oncotherapeutic applications.




synthesis

Inhibition of the polyamine synthesis enzyme ornithine decarboxylase sensitizes triple-negative breast cancer cells to cytotoxic chemotherapy [Molecular Bases of Disease]

Treatment of patients with triple-negative breast cancer (TNBC) is limited by a lack of effective molecular therapies targeting this disease. Recent studies have identified metabolic alterations in cancer cells that can be targeted to improve responses to standard-of-care chemotherapy regimens. Using MDA-MB-468 and SUM-159PT TNBC cells, along with LC-MS/MS and HPLC metabolomics profiling, we found here that exposure of TNBC cells to the cytotoxic chemotherapy drugs cisplatin and doxorubicin alter arginine and polyamine metabolites. This alteration was because of a reduction in the levels and activity of a rate-limiting polyamine biosynthetic enzyme, ornithine decarboxylase (ODC). Using gene silencing and inhibitor treatments, we determined that the reduction in ODC was mediated by its negative regulator antizyme, targeting ODC to the proteasome for degradation. Treatment with the ODC inhibitor difluoromethylornithine (DFMO) sensitized TNBC cells to chemotherapy, but this was not observed in receptor-positive breast cancer cells. Moreover, TNBC cell lines had greater sensitivity to single-agent DFMO, and ODC levels were elevated in TNBC patient samples. The alterations in polyamine metabolism in response to chemotherapy, as well as DFMO-induced preferential sensitization of TNBC cells to chemotherapy, reported here suggest that ODC may be a targetable metabolic vulnerability in TNBC.




synthesis

Schnyder corneal dystrophy-associated UBIAD1 is defective in MK-4 synthesis and resists autophagy-mediated degradation

Dong-Jae Jun
May 1, 2020; 61:746-757
Research Articles




synthesis

Lipid sensing tips the balance for a key cholesterol synthesis enzyme [Images in Lipid Research]




synthesis

Exon 9-deleted CETP inhibits full length-CETP synthesis and promotes cellular triglyceride storage [Research Articles]

Cholesteryl ester transfer protein (CETP) exists as full-length (FL) and exon 9 (E9)-deleted isoforms. The function of E9-deleted CETP is poorly understood. Here, we investigated the role of E9-deleted CETP in regulating the secretion of FL-CETP by cells and explored its possible role in intracellular lipid metabolism. CETP overexpression in cells that naturally express CETP confirmed that E9-deleted CETP is not secreted, and showed that cellular FL- and E9-deleted CETP form an isolatable complex. Coexpression of CETP isoforms lowered cellular levels of both proteins and impaired FL-CETP secretion. These effects were due to reduced synthesis of both isoforms; however, the predominate consequence of FL- and E9-deleted CETP coexpression is impaired FL-CETP synthesis. We reported previously that reducing both CETP isoforms or overexpressing FL-CETP impairs cellular triglyceride (TG) storage. To investigate this further, E9-deleted CETP was expressed in SW872 cells that naturally synthesize CETP and in mouse 3T3-L1 cells that do not. E9-deleted CETP overexpression stimulated SW872 triglyceride synthesis and increased stored TG 2-fold. Expression of E9-deleted CETP in mouse 3T3-L1 cells produced a similar lipid phenotype. In vitro, FL-CETP promotes the transfer of TG from ER-enriched membranes to lipid droplets. E9-deleted CETP also promoted this transfer, although less effectively, and it inhibited the transfer driven by FL-CETP. We conclude that FL- and E9-deleted CETP isoforms interact to mutually decrease their intracellular levels and impair FL-CETP secretion by reducing CETP biosynthesis. E9-deleted CETP, like FL-CETP, alters cellular TG metabolism and storage but in a contrary manner.




synthesis

Hepatic monoamine oxidase B is involved in endogenous geranylgeranoic acid synthesis in mammalian liver cells [Research Articles]

Geranylgeranoic acid (GGA) originally was identified in some animals and has been developed as an agent for preventing second primary hepatoma. We previously have also identified GGA as an acyclic diterpenoid in some medicinal herbs. Recently, we reported that in human hepatoma-derived HuH-7 cells, GGA is metabolically labeled from 13C-mevalonate. Several cell-free experiments have demonstrated that GGA is synthesized through geranylgeranial by oxygen-dependent oxidation of geranylgeraniol (GGOH), but the exact biochemical events giving rise to GGA in hepatoma cells remain unclear. Monoamine oxidase B (MOAB) has been suggested to be involved in GGOH oxidation. Here, using two human hepatoma cell lines, we investigated whether MAOB contributes to GGA biosynthesis. Using either HuH-7 cell lysates or recombinant human MAOB, we found that: 1) the MAO inhibitor tranylcypromine dose-dependently downregulates endogenous GGA levels in HuH-7 cells; and 2) siRNA-mediated MAOB silencing reduces intracellular GGA levels in HuH-7 and Hep3B cells. Unexpectedly, however, CRISPR/Cas9-generated MAOB-KO human hepatoma Hep3B cells had GGA levels similar to those in MAOB-WT cells. A sensitivity of GGA levels to siRNA-mediated MAOB downregulation was recovered when the MAOB-KO cells were transfected with a MAOB-expression plasmid, suggesting that MAOB is the enzyme primarily responsible for GGOH oxidation and that some other latent metabolic pathways may maintain endogenous GGA levels in the MAOB-KO hepatoma cells. Along with the previous findings, these results provide critical insights into the biological roles of human MAOB and provide evidence that hepatic MAOB is involved in endogenous GGA biosynthesis via GGOH oxidation.




synthesis

Schnyder corneal dystrophy-associated UBIAD1 is defective in MK-4 synthesis and resists autophagy-mediated degradation [Research Articles]

The autosomal dominant disorder Schnyder corneal dystrophy (SCD) is caused by mutations in UbiA prenyltransferase domain-containing protein-1 (UBIAD1), which uses geranylgeranyl pyrophosphate (GGpp) to synthesize the vitamin K2 subtype menaquinone-4 (MK-4). SCD is characterized by opacification of the cornea, owing to aberrant build-up of cholesterol in the tissue. We previously discovered that sterols stimulate association of UBIAD1 with ER-localized HMG-CoA reductase, which catalyzes a rate-limiting step in the synthesis of cholesterol and nonsterol isoprenoids, including GGpp. Binding to UBIAD1 inhibits sterol-accelerated ER-associated degradation (ERAD) of reductase and permits continued synthesis of GGpp in cholesterol-replete cells. GGpp disrupts UBIAD1-reductase binding and thereby allows for maximal ERAD of reductase as well as ER-to-Golgi translocation of UBIAD1. SCD-associated UBIAD1 is refractory to GGpp-mediated dissociation from reductase and remains sequestered in the ER to inhibit ERAD. Here, we report development of a biochemical assay for UBIAD1-mediated synthesis of MK-4 in isolated membranes and intact cells. Using this assay, we compared enzymatic activity of WT UBIAD1 with that of SCD-associated variants. Our studies revealed that SCD-associated UBIAD1 exhibited reduced MK-4 synthetic activity, which may result from its reduced affinity for GGpp. Sequestration in the ER protects SCD-associated UBIAD1 from autophagy and allows intracellular accumulation of the mutant protein, which amplifies the inhibitory effect on reductase ERAD. These findings have important implications not only for the understanding of SCD etiology but also for the efficacy of cholesterol-lowering statin therapy, which becomes limited, in part, because of UBIAD1-mediated inhibition of reductase ERAD.




synthesis

Coregulator Sin3a Promotes Postnatal Murine {beta}-Cell Fitness by Regulating Genes in Ca2+ Homeostasis, Cell Survival, Vesicle Biosynthesis, Glucose Metabolism, and Stress Response

Swi-independent 3a and 3b (Sin3a and Sin3b) are paralogous transcriptional coregulators that direct cellular differentiation, survival, and function. Here, we report that mouse Sin3a and Sin3b are co-produced in most pancreatic cells during embryogenesis but become much more enriched in endocrine cells in adults, implying continued essential roles in mature endocrine-cell function. Mice with loss of Sin3a in endocrine progenitors were normal during early postnatal stages but gradually developed diabetes before weaning. These physiological defects were preceded by the compromised survival, insulin-vesicle packaging, insulin secretion, and nutrient-induced Ca2+ influx of Sin3a-deficient β-cells. RNA-seq coupled with candidate chromatin-immunoprecipitation assays revealed several genes that could be directly regulated by Sin3a in β-cells, which modulate Ca2+/ion transport, cell survival, vesicle/membrane trafficking, glucose metabolism, and stress responses. Lastly, mice with loss of both Sin3a and Sin3b in multipotent embryonic pancreatic progenitors had significantly reduced islet-cell mass at birth, caused by decreased endocrine-progenitor production and increased β-cell death. These findings highlight the stage-specific requirements for the presumed "general" coregulators Sin3a and Sin3b in islet β-cells, with Sin3a being dispensable for differentiation but required for postnatal function and survival.




synthesis

SUMOylation of the transcription factor ZFHX3 at Lys-2806 requires SAE1, UBC9, and PIAS2 and enhances its stability and function in cell proliferation [Protein Synthesis and Degradation]

SUMOylation is a posttranslational modification (PTM) at a lysine residue and is crucial for the proper functions of many proteins, particularly of transcription factors, in various biological processes. Zinc finger homeobox 3 (ZFHX3), also known as AT motif-binding factor 1 (ATBF1), is a large transcription factor that is active in multiple pathological processes, including atrial fibrillation and carcinogenesis, and in circadian regulation and development. We have previously demonstrated that ZFHX3 is SUMOylated at three or more lysine residues. Here, we investigated which enzymes regulate ZFHX3 SUMOylation and whether SUMOylation modulates ZFHX3 stability and function. We found that SUMO1, SUMO2, and SUMO3 each are conjugated to ZFHX3. Multiple lysine residues in ZFHX3 were SUMOylated, but Lys-2806 was the major SUMOylation site, and we also found that it is highly conserved among ZFHX3 orthologs from different animal species. Using molecular analyses, we identified the enzymes that mediate ZFHX3 SUMOylation; these included SUMO1-activating enzyme subunit 1 (SAE1), an E1-activating enzyme; SUMO-conjugating enzyme UBC9 (UBC9), an E2-conjugating enzyme; and protein inhibitor of activated STAT2 (PIAS2), an E3 ligase. Multiple analyses established that both SUMO-specific peptidase 1 (SENP1) and SENP2 deSUMOylate ZFHX3. SUMOylation at Lys-2806 enhanced ZFHX3 stability by interfering with its ubiquitination and proteasomal degradation. Functionally, Lys-2806 SUMOylation enabled ZFHX3-mediated cell proliferation and xenograft tumor growth of the MDA-MB-231 breast cancer cell line. These findings reveal the enzymes involved in, and the functional consequences of, ZFHX3 SUMOylation, insights that may help shed light on ZFHX3's roles in various cellular and pathophysiological processes.




synthesis

Inhibition of glycosphingolipid biosynthesis reverts multidrug resistance by differentially modulating ABC transporters in chronic myeloid leukemias [Cell Biology]

Multidrug resistance (MDR) in cancer arises from cross-resistance to structurally- and functionally-divergent chemotherapeutic drugs. In particular, MDR is characterized by increased expression and activity of ATP-binding cassette (ABC) superfamily transporters. Sphingolipids are substrates of ABC proteins in cell signaling, membrane biosynthesis, and inflammation, for example, and their products can favor cancer progression. Glucosylceramide (GlcCer) is a ubiquitous glycosphingolipid (GSL) generated by glucosylceramide synthase, a key regulatory enzyme encoded by the UDP-glucose ceramide glucosyltransferase (UGCG) gene. Stressed cells increase de novo biosynthesis of ceramides, which return to sub-toxic levels after UGCG mediates incorporation into GlcCer. Given that cancer cells seem to mobilize UGCG and have increased GSL content for ceramide clearance, which ultimately contributes to chemotherapy failure, here we investigated how inhibition of GSL biosynthesis affects the MDR phenotype of chronic myeloid leukemias. We found that MDR is associated with higher UGCG expression and with a complex GSL profile. UGCG inhibition with the ceramide analog d-threo-1-(3,4,-ethylenedioxy)phenyl-2-palmitoylamino-3-pyrrolidino-1-propanol (EtDO-P4) greatly reduced GSL and monosialotetrahexosylganglioside levels, and co-treatment with standard chemotherapeutics sensitized cells to mitochondrial membrane potential loss and apoptosis. ABC subfamily B member 1 (ABCB1) expression was reduced, and ABCC-mediated efflux activity was modulated by competition with nonglycosylated ceramides. Consistently, inhibition of ABCC-mediated transport reduced the efflux of exogenous C6-ceramide. Overall, UGCG inhibition impaired the malignant glycophenotype of MDR leukemias, which typically overcomes drug resistance through distinct mechanisms. This work sheds light on the involvement of GSL in chemotherapy failure, and its findings suggest that targeted GSL modulation could help manage MDR leukemias.




synthesis

Correction: Rational design, synthesis, and evaluation of uncharged, “smart” bis-oxime antidotes of organophosphate-inhibited human acetylcholinesterase. [Additions and Corrections]

VOLUME 295 (2020) PAGES 4079–4092There was an error in the abstract. “The pyridinium cation hampers uptake of OPs into the central nervous system (CNS)” should read as “The pyridinium cation hampers uptake into the central nervous system (CNS).”




synthesis

Role of phospholipid synthesis in the development and differentiation of malaria parasites in the blood [Microbiology]

The life cycle of malaria parasites in both their mammalian host and mosquito vector consists of multiple developmental stages that ensure proper replication and progeny survival. The transition between these stages is fueled by nutrients scavenged from the host and fed into specialized metabolic pathways of the parasite. One such pathway is used by Plasmodium falciparum, which causes the most severe form of human malaria, to synthesize its major phospholipids, phosphatidylcholine, phosphatidylethanolamine, and phosphatidylserine. Much is known about the enzymes involved in the synthesis of these phospholipids, and recent advances in genetic engineering, single-cell RNA-Seq analyses, and drug screening have provided new perspectives on the importance of some of these enzymes in parasite development and sexual differentiation and have identified targets for the development of new antimalarial drugs. This Minireview focuses on two phospholipid biosynthesis enzymes of P. falciparum that catalyze phosphoethanolamine transmethylation (PfPMT) and phosphatidylserine decarboxylation (PfPSD) during the blood stages of the parasite. We also discuss our current understanding of the biochemical, structural, and biological functions of these enzymes and highlight efforts to use them as antimalarial drug targets.




synthesis

Peptide Synthesis

Bio-Synthesis is USA based Custom Peptide Synthesis Company. In organic chemistry, peptide synthesis is the production of peptides. Peptides are chemically synthesized by the condensation reaction of the carboxyl group of amino acid.




synthesis

Impact of 1,N6-ethenoadenosine, a damaged ribonucleotide in DNA, on translesion synthesis and repair [Enzymology]

Incorporation of ribonucleotides into DNA can severely diminish genome integrity. However, how ribonucleotides instigate DNA damage is poorly understood. In DNA, they can promote replication stress and genomic instability and have been implicated in several diseases. We report here the impact of the ribonucleotide rATP and of its naturally occurring damaged analog 1,N6-ethenoadenosine (1,N6-ϵrA) on translesion synthesis (TLS), mediated by human DNA polymerase η (hpol η), and on RNase H2–mediated incision. Mass spectral analysis revealed that 1,N6-ϵrA in DNA generates extensive frameshifts during TLS, which can lead to genomic instability. Moreover, steady-state kinetic analysis of the TLS process indicated that deoxypurines (i.e. dATP and dGTP) are inserted predominantly opposite 1,N6-ϵrA. We also show that hpol η acts as a reverse transcriptase in the presence of damaged ribonucleotide 1,N6-ϵrA but has poor RNA primer extension activities. Steady-state kinetic analysis of reverse transcription and RNA primer extension showed that hpol η favors the addition of dATP and dGTP opposite 1,N6-ϵrA. We also found that RNase H2 recognizes 1,N6-ϵrA but has limited incision activity across from this lesion, which can lead to the persistence of this detrimental DNA adduct. We conclude that the damaged and unrepaired ribonucleotide 1,N6-ϵrA in DNA exhibits mutagenic potential and can also alter the reading frame in an mRNA transcript because 1,N6-ϵrA is incompletely incised by RNase H2.




synthesis

Genetic evidence for reconfiguration of DNA polymerase {theta} active site for error-free translesion synthesis in human cells [DNA and Chromosomes]

The action mechanisms revealed by the biochemical and structural analyses of replicative and translesion synthesis (TLS) DNA polymerases (Pols) are retained in their cellular roles. In this regard, DNA polymerase θ differs from other Pols in that whereas purified Polθ misincorporates an A opposite 1,N6-ethenodeoxyadenosine (ϵdA) using an abasic-like mode, Polθ performs predominantly error-free TLS in human cells. To test the hypothesis that Polθ adopts a different mechanism for replicating through ϵdA in human cells than in the purified Pol, here we analyze the effects of mutations in the two highly conserved tyrosine residues, Tyr-2387 and Tyr-2391, in the Polθ active site. Our findings that these residues are indispensable for TLS by the purified Pol but are not required in human cells, as well as other findings, provide strong evidence that the Polθ active site is reconfigured in human cells to stabilize ϵdA in the syn conformation for Hoogsteen base pairing with the correct nucleotide. The evidence that a DNA polymerase can configure its active site entirely differently in human cells than in the purified Pol establishes a new paradigm for DNA polymerase function.




synthesis

An engineered double lipid II binding motifs-containing lantibiotic displays potent and selective antimicrobial activity against E. faecium [Chemistry; Biosynthesis]

Lipid II is an essential precursor of the bacterial cell wall biosynthesis and thereby an important target for various antibiotics. Several lanthionine-containing peptide antibiotics target lipid II with lanthionine-stabilized lipid II-binding motifs. Here, we used the biosynthesis system of the lantibiotic nisin to synthesize a two lipid II binding motifs-containing lantibiotic, termed TL19, which contains the N-terminal lipid II binding motif of nisin and the distinct C-terminal lipid II binding motif of one peptide of the two-component haloduracin (i.e. HalA1). Further characterization demonstrated that (i) TL19 exerts 64-fold stronger antimicrobial activity against E. faecium than nisin (1-22), which has only one lipid II binding site, and (ii) both the N- and C-terminal domains are essential for the potent antimicrobial activity of TL19, as evidenced by mutagenesis of each single and double domains. These results show the feasibility of a new approach to synthesize potent lantibiotics with two different lipid II binding motifs to treat specific antibiotic-resistant pathogens.




synthesis

Combination Therapy with Ibrexafungerp (formerly SCY-078), a First-in-Class Triterpenoid Inhibitor of (1->3)-{beta}-D-Glucan Synthesis, and Isavuconazole for Treatment of Experimental Invasive Pulmonary Aspergillosis [Experimental Therapeutics]

Ibrexafungerp (formerly SCY-078) is a semisynthetic triterpenoid and potent (1->3)-β-D-glucan synthase inhibitor. We investigated the in vitro activity, pharmacokinetics, and in vivo efficacy of ibrexafungerp (SCY) alone and in combination with anti-mould triazole isavuconazole (ISA) against invasive pulmonary aspergillosis (IPA). The combination of ibrexafungerp and isavuconazole in in vitro studies resulted in an additive and synergistic interactions against Aspergillus spp. Plasma concentration-time curves of ibrexafungerp were compatible with linear dose proportional profile. In vivo efficacy was studied in a well established persistently neutropenic NZW rabbit model of experimental IPA. Treatment groups included untreated rabbits (UC) and rabbits receiving ibrexafungerp at 2.5(SCY2.5) and 7.5(SCY7.5) mg/kg/day, isavuconazole at 40(ISA40) mg/kg/day, or combinations of SCY2.5+ISA40 and SCY7.5+ISA40. The combination of SCY+ISA produced in vitro synergistic interaction. There was significant in vivo reduction of residual fungal burden, lung weights, and pulmonary infarct scores in SCY2.5+ISA40, SCY7.5+ISA40, and ISA40-treatment groups vs that of SCY2.5-treated, SCY7.5-treated and UC (p<0.01). Rabbits treated with SCY2.5+ISA40 and SCY7.5+ISA40 had prolonged survival in comparison to that of SCY2.5-, SCY7.5-, ISA40-treated or UC (p<0.05). Serum GMI and (1->3)-β-D-glucan levels significantly declined in animals treated with the combination of SCY7.5+ISA40 in comparison to those treated with SCY7.5 or ISA40 (p<0.05). Ibrexafungerp and isavuconazole combination demonstrated prolonged survival, decreased pulmonary injury, reduced residual fungal burden, lower GMI and (1->3)-β-D-glucan levels in comparison to those of single therapy for treatment of IPA. These findings provide an experimental foundation for clinical evaluation of the combination of ibrexafungerp and an anti-mould triazole for treatment of IPA.




synthesis

Meet T22 Synthesis: A motorcycle with two 2-stroke engines, inspired by 1950s’ twin-engined Triumph




synthesis

Cadence Genus Synthesis Solution – the Next Generation of RTL Synthesis

Physical synthesis has been around in various forms for many years. The basic idea is to bring some awareness of physical layout into synthesis. This week (June 3, 2015) Cadence is rolling out the Genus™ Synthesis Solution, a next-generation RTL synthesis tool that takes physical awareness in some new directions.

Here are four important things to know about Genus technology:

  • A massively parallel architecture improves turnaround time by up to 5X while maintaining quality of results
  • The Genus solution synthesizes up to 10M+ instances flat without impacting power, performance and area (PPA)
  • The Genus solution provides tight correlation with the Innovus Implementation System, using the same placement and routing algorithms
  • Globally focused PPA optimization saves up to 20% datapath area and power

Compared to previous-generation products such as the Cadence Encounter RTL Compiler Advanced Physical Option, the Genus solution approaches physical synthesis in a different way. The Encounter solution applied physical optimization “at the tail end of synthesis,” said David Stratman, senior principal product manager at Cadence. “We were doing a final incremental push, but we could only do so much, since we had locked in a lot of the earlier steps from a logical-only synthesis perspective.”

Genus Synthesis Solution supports the physical synthesis features in the previous Encounter solution, but it also brings the full physical scope upstream to RTL logic designers. “It’s going to enable the unit-level RTL designer to gain the benefits of physical synthesis without having to understand it,” Stratman said. As an example, users can apply generic (unmapped) placement at the earliest stages of synthesis, using a lightweight version of the Innovus placement engine. The bottom line: “Genus is a full solution where every step of synthesis can be done physically.”

Getting Massively Parallel

If you bring physical data into synthesis, you need a way to improve capacity and runtimes, especially with today’s gigantic advance-node SoCs. That’s why a massively parallel architecture is the cornerstone of the Genus solution. In this way, the Genus solution is following in the footsteps of the Innovus Implementation System, which also provides a massively parallel architecture.

Both the Innovus and Genus solutions can handle blocks of 10M instances flat. Given that SoCs today may have up to 100M instances, and often up to 50-100 top-level blocks, this is an important capability. Many tools today will only handle blocks of 1M instances. As a result, design teams often have to constrain block sizes.

Genus technology offers timing-driven, multi-level design partitioning across multiple threads and machines. It enables a near-linear runtime scaling without impacting PPA. According to Stratman, the Genus solution will scale well beyond 64 CPUs for a large design, with a “sweet spot” around 8-20 CPUs for today’s typical block sizes. Runs that used to take days, he noted, can now be done in hours.

As shown below, Genus technology leverages parallelism at three levels. The Genus solution can distribute design partitions to multiple threads or CPUs, and also supports local algorithm-level multithreading on each machine with shared memory. An adaptive scheduler ensures the best use of the available CPUs.


Fig. 1 – Genus Synthesis Solution provides three levels of parallelism

With its massive parallelism, Stratman said, Genus technology can obtain production-level quality of results (QoR) in runtimes typically seen in “prototype-level” synthesis runs. The “secret sauce,” he said, is in the partitioning. Cadence has found a way to generate partitions in a way that “slices the design more intelligently, and takes advantage of the Genus database to merge partitions without losing timing, power, or area,” Stratman said.

Playing in the Sandbox

In the Genus Synthesis Solution, a process called “sandboxing” allows any subset or partition of a design to be extracted along with full timing and a physical context. Optimization algorithms will treat a sandbox as a complete design.

The “Clipper” flow clips out or extracts the context of the larger SoC blocks. “It’s kind of a skeleton floorplan but it has all the timing information,” Stratman said. These extracted contexts include all the critical physical information to make the right RTL synthesis choices at the unit level. This information is used to streamline the handoffs between unit-level RTL designers, integration engineers, and implementation engineers. It’s a way for logic designers to gain some physical knowledge without having to be a physical synthesis expert, or without having to run a full top-level synthesis.

Fig. 2 – Clipper flow provides context for unit-level blocks

Correlation with Innovus Implementation System

Although Genus technology can work with third-party IC implementation systems, it shares algorithms and engines with Innovus Implementation System, as well as a common user interface. As shown below, both the Genus and Innovus solutions use a table-based Quantus QRC parasitic extraction, effective current source model (ECSM) and composite current source (CCS) delay calculations, and a unified global routing engine. Timing and wire length claim a 5% correlation.

Fig. 3 – Genus Synthesis Solution offers tight correlation with Innovus Implementation System

Genus technology doesn’t model everything to the same level of accuracy as the Innovus solution, however. “We chose to be lighter weight and more nimble to get expected runtimes,” Stratman said. A tight correlation is possible because the Genus and Innovus solutions use a similar code base. This correlation will be tighter than that between Encounter RTL Compiler Advanced Physical Option and the Encounter Digital Implementation System today.

Genus Synthesis Solution uses a new Hybrid Global Router that provides the ability to resolve congestion and construct layer-aware, timing-driven wire topologies. This accelerates analysis and debug, and reduces iterations. Users can avoid blockages and see a full Manhattan route as opposed to “flight lines.” Layer awareness is particularly important, given the large RC variations within the metal stack at advanced process nodes.

A version of the Innovus GigaPlace engine is available within the Genus solution. Here, users can do an RTL-level generic gate placement early in the synthesis flow (“generic gate” means there is no mapping into standard cell libraries, but there’s still an area estimate). This helps designers understand PPA tradeoffs earlier.

While users can go all the way to a design-rule “legal” placement with Genus Synthesis Solution, this isn’t generally recommended. “You can do a placement and use the same algorithms as GigaPlace and get a nice correlation without all the runtimes and additional steps of doing a fully legal placement,” Stratman said.

So where does Genus technology end and Innovus technology begin? That’s up to the user. You could use the Genus solution for logical synthesis and run all physical implementation in the Innovus system. If you run physical synthesis within the Genus solution, there’s more work earlier in the flow, but you get better insights into downstream problems and reduce iterations.

“Physical synthesis should be no more than 2X [runtime] of logic synthesis,” Stratman said. “All of the runtime that moves up should be shaved off of the place-and-route stages, because now you can do lightweight incremental optimization and incremental placement. The overall flow should be runtime neutral or better.”

Be Globally Aware

Finally, Genus Synthesis Solution offers a globally focused early PPA optimization across the whole datapath, delivering up to a 20% area reduction in the datapath. Stratman noted that this capability is a follow-on to an RCP feature called “globally focused mapping” that can determine the best cells to use in a library. What’s new with the Genus solution is that this concept has been applied at the arithmetic level.

For example, there are many ways to configure a multiplier – you may want to prioritize speed, power, or size. In the past, Stratman noted, synthesis tools have not been very good at globally optimizing the architecture selection for PPA optimization. “We can [now] find the most efficient global datapath implementation for a given region,” he said.

For further information about the Cadence Genus Synthesis Solution, including a datasheet and technical product brief, see this landing page.

Richard Goering

Related Blog Posts

Designer View – RTL Synthesis Success Strategies at 28nm and Below

Front-End Design Summit: The Future of RTL Synthesis and Design for Test

Physically-Aware Synthesis Helps Design a New Computer Architecture

 




synthesis

Post-synthesis Simulation Failing when lp_insert_clock_gating true

When I enable clock gating in my synthesis flow (using Genus 18.15), my simulation (using Xcelium) on the post-synthesis netlist fails. The simulation succeeds pre-synthesis and also if I remove clock-gating in the design. I use set_db  lp_insert_clock_gating true to enable clock gating during synthesis. I printed out some of the signals from the netlist and can see where it fails (it incorrectly writes a register). However, I am not sure how to solve this issue or what I should be looking for. Any help would be appreciated. Thanks.




synthesis

About SDF file after synthesis in Genus Tool

hello sir this is Ganesh  from NIT Hamirpur pursuing MTech in VLSI. I have doubt regarding SDF i'm using genus tool for synthesis & after synthesis when i'm generating SDF it is giving delays by default for maximum values but i want all the delays like minimum:Typical:Maximum how can i do this. Is there any provision to set PVT values manually for SDF generation so that i can get all the delay values.




synthesis

Whiteboard Wednesdays - Low Power SoC Design with High-Level Synthesis

In this week’s Whiteboard Wednesdays video, Dave Apte discusses how to create the lowest power design possible by using architectural exploration and Cadence’s Stratus HLS solution....

[[ Click on the title to access the full blog on the Cadence Community site. ]]




synthesis

Post synthesis simulation with XCELIUM - SDF

hi,

due to technical problem i am running simulation through terminal. Therefore, I have a Verilog file, a test bench and i have also exported from Genus synthesized netlist and sdf file. Now, how can i annotate sdf in my post-synthesis simulation using XCELIUM while using command line?

thank you




synthesis

Genus Synthesis Solution – Introduction to Stylus Common UI

The Cadence® Genus  Synthesis Solution, Innovus  Implementation System, and Tempus  Timing Signoff Solution have a lot of shared functionality, but in the past, the separate legacy user interfaces (UIs) created a lot of differences.

A new common user interface that the Genus solution shares with the Innovus and Tempus solutions streamlines flow development and simplifies usability across the complete Cadence digital flow. The Stylus Common UI provides a next-generation synthesis-to-signoff flow with unified database access, MMMC timing configuration and reporting, and low-power design initialization.

This webinar answers the following questions:

  • What is the Stylus Common UI and why did Cadence develop it?
  • How does someone invoke and use the Stylus Common UI?
  • What are some of the important and useful features of the Stylus Common UI?
  • What are key ways the Stylus Common UI is different from the Legacy UI?

If you want to learn more about Stylus UI in the context of Genus Synthesis Solution, refer to 45-minute recorded webinar on https://support.cadence.com (Cadence login required).

Video Title: Webinar: Genus Synthesis Solution—Introduction to the Stylus Common UI (Video)

Direct Link: https://support.cadence.com/apex/ArticleAttachmentPortal?id=a1O0V000009MoGIUA0&pageName=ArticleContent

Related Resources

If interested in the full course, including lab content, please contact your Cadence representative or email a request to training_enroll@cadence.com. You can also enroll in the course on http://learning.cadence.com.​

Enhance the Genus Synthesis experience with videos: Genus Synthesis Solution: Video Library

For any questions, general feedback, or future blog topic suggestions, please leave a comment. 




synthesis

Hepatic monoamine oxidase B is involved in endogenous geranylgeranoic acid synthesis in mammalian liver cells [Research Articles]

Geranylgeranoic acid (GGA) originally was identified in some animals and has been developed as an agent for preventing second primary hepatoma. We previously have also identified GGA as an acyclic diterpenoid in some medicinal herbs. Recently, we reported that in human hepatoma-derived HuH-7 cells, GGA is metabolically labeled from 13C-mevalonate. Several cell-free experiments have demonstrated that GGA is synthesized through geranylgeranial by oxygen-dependent oxidation of geranylgeraniol (GGOH), but the exact biochemical events giving rise to GGA in hepatoma cells remain unclear. Monoamine oxidase B (MOAB) has been suggested to be involved in GGOH oxidation. Here, using two human hepatoma cell lines, we investigated whether MAOB contributes to GGA biosynthesis. Using either HuH-7 cell lysates or recombinant human MAOB, we found that: 1) the MAO inhibitor tranylcypromine dose-dependently downregulates endogenous GGA levels in HuH-7 cells; and 2) siRNA-mediated MAOB silencing reduces intracellular GGA levels in HuH-7 and Hep3B cells. Unexpectedly, however, CRISPR/Cas9-generated MAOB-KO human hepatoma Hep3B cells had GGA levels similar to those in MAOB-WT cells. A sensitivity of GGA levels to siRNA-mediated MAOB downregulation was recovered when the MAOB-KO cells were transfected with a MAOB-expression plasmid, suggesting that MAOB is the enzyme primarily responsible for GGOH oxidation and that some other latent metabolic pathways may maintain endogenous GGA levels in the MAOB-KO hepatoma cells. Along with the previous findings, these results provide critical insights into the biological roles of human MAOB and provide evidence that hepatic MAOB is involved in endogenous GGA biosynthesis via GGOH oxidation.




synthesis

Schnyder corneal dystrophy-associated UBIAD1 is defective in MK-4 synthesis and resists autophagy-mediated degradation [Research Articles]

The autosomal dominant disorder Schnyder corneal dystrophy (SCD) is caused by mutations in UbiA prenyltransferase domain-containing protein-1 (UBIAD1), which uses geranylgeranyl pyrophosphate (GGpp) to synthesize the vitamin K2 subtype menaquinone-4 (MK-4). SCD is characterized by opacification of the cornea, owing to aberrant build-up of cholesterol in the tissue. We previously discovered that sterols stimulate association of UBIAD1 with ER-localized HMG-CoA reductase, which catalyzes a rate-limiting step in the synthesis of cholesterol and nonsterol isoprenoids, including GGpp. Binding to UBIAD1 inhibits sterol-accelerated ER-associated degradation (ERAD) of reductase and permits continued synthesis of GGpp in cholesterol-replete cells. GGpp disrupts UBIAD1-reductase binding and thereby allows for maximal ERAD of reductase as well as ER-to-Golgi translocation of UBIAD1. SCD-associated UBIAD1 is refractory to GGpp-mediated dissociation from reductase and remains sequestered in the ER to inhibit ERAD. Here, we report development of a biochemical assay for UBIAD1-mediated synthesis of MK-4 in isolated membranes and intact cells. Using this assay, we compared enzymatic activity of WT UBIAD1 with that of SCD-associated variants. Our studies revealed that SCD-associated UBIAD1 exhibited reduced MK-4 synthetic activity, which may result from its reduced affinity for GGpp. Sequestration in the ER protects SCD-associated UBIAD1 from autophagy and allows intracellular accumulation of the mutant protein, which amplifies the inhibitory effect on reductase ERAD. These findings have important implications not only for the understanding of SCD etiology but also for the efficacy of cholesterol-lowering statin therapy, which becomes limited, in part, because of UBIAD1-mediated inhibition of reductase ERAD.




synthesis

In Vivo Assay Reveals Microbial OleA Thiolases Initiating Hydrocarbon and {beta}-Lactone Biosynthesis

ABSTRACT

OleA, a member of the thiolase superfamily, is known to catalyze the Claisen condensation of long-chain acyl coenzyme A (acyl-CoA) substrates, initiating metabolic pathways in bacteria for the production of membrane lipids and β-lactone natural products. OleA homologs are found in diverse bacterial phyla, but to date, only one homodimeric OleA has been successfully purified to homogeneity and characterized in vitro. A major impediment for the identification of new OleA enzymes has been protein instability and time-consuming in vitro assays. Here, we developed a bioinformatic pipeline to identify OleA homologs and a new rapid assay to screen OleA enzyme activity in vivo and map their taxonomic diversity. The screen is based on the discovery that OleA displayed surprisingly high rates of p-nitrophenyl ester hydrolysis, an activity not shared by other thiolases, including FabH. The high rates allowed activity to be determined in vitro and with heterologously expressed OleA in vivo via the release of the yellow p-nitrophenol product. Seventy-four putative oleA genes identified in the genomes of diverse bacteria were heterologously expressed in Escherichia coli, and 25 showed activity with p-nitrophenyl esters. The OleA proteins tested were encoded in variable genomic contexts from seven different phyla and are predicted to function in distinct membrane lipid and β-lactone natural product metabolic pathways. This study highlights the diversity of unstudied OleA proteins and presents a rapid method for their identification and characterization.

IMPORTANCE Microbially produced β-lactones are found in antibiotic, antitumor, and antiobesity drugs. Long-chain olefinic membrane hydrocarbons have potential utility as fuels and specialty chemicals. The metabolic pathway to both end products share bacterial enzymes denoted as OleA, OleC, and OleD that transform acyl-CoA cellular intermediates into β-lactones. Bacteria producing membrane hydrocarbons via the Ole pathway additionally express a β-lactone decarboxylase, OleB. Both β-lactone and olefin biosynthesis pathways are initiated by OleA enzymes that define the overall structure of the final product. There is currently very limited information on OleA enzymes apart from the single representative from Xanthomonas campestris. In this study, bioinformatic analysis identified hundreds of new, putative OleA proteins, 74 proteins were screened via a rapid whole-cell method, leading to the identification of 25 stably expressed OleA proteins representing seven bacteria phyla.




synthesis

The Absence of (p)ppGpp Renders Initiation of Escherichia coli Chromosomal DNA Synthesis Independent of Growth Rates

ABSTRACT

The initiation of Escherichia coli chromosomal DNA replication starts with the oligomerization of the DnaA protein at repeat sequences within the origin (ori) region. The amount of ori DNA per cell directly correlates with the growth rate. During fast growth, the cell generation time is shorter than the time required for complete DNA replication; therefore, overlapping rounds of chromosome replication are required. Under these circumstances, the ori region DNA abundance exceeds the DNA abundance in the termination (ter) region. Here, high ori/ter ratios are found to persist in (p)ppGpp-deficient [(p)ppGpp0] cells over a wide range of balanced exponential growth rates determined by medium composition. Evidently, (p)ppGpp is necessary to maintain the usual correlation of slow DNA replication initiation with a low growth rate. Conversely, ori/ter ratios are lowered when cell growth is slowed by incrementally increasing even low constitutive basal levels of (p)ppGpp without stress, as if (p)ppGpp alone is sufficient for this response. There are several previous reports of (p)ppGpp inhibition of chromosomal DNA synthesis initiation that occurs with very high levels of (p)ppGpp that stop growth, as during the stringent starvation response or during serine hydroxamate treatment. This work suggests that low physiological levels of (p)ppGpp have significant functions in growing cells without stress through a mechanism involving negative supercoiling, which is likely mediated by (p)ppGpp regulation of DNA gyrase.

IMPORTANCE Bacterial cells regulate their own chromosomal DNA synthesis and cell division depending on the growth conditions, producing more DNA when growing in nutritionally rich media than in poor media (i.e., human gut versus water reservoir). The accumulation of the nucleotide analog (p)ppGpp is usually viewed as serving to warn cells of impending peril due to otherwise lethal sources of stress, which stops growth and inhibits DNA, RNA, and protein synthesis. This work importantly finds that small physiological changes in (p)ppGpp basal levels associated with slow balanced exponential growth incrementally inhibit the intricate process of initiation of chromosomal DNA synthesis. Without (p)ppGpp, initiations mimic the high rates present during fast growth. Here, we report that the effect of (p)ppGpp may be due to the regulation of the expression of gyrase, an important enzyme for the replication of DNA that is a current target of several antibiotics.




synthesis

Erratum for Townsend et al., "A Master Regulator of Bacteroides thetaiotaomicron Gut Colonization Controls Carbohydrate Utilization and an Alternative Protein Synthesis Factor"




synthesis

Phosphoric Metabolites Link Phosphate Import and Polysaccharide Biosynthesis for Candida albicans Cell Wall Maintenance

ABSTRACT

The Candida albicans high-affinity phosphate transporter Pho84 is required for normal Target of Rapamycin (TOR) signaling, oxidative stress resistance, and virulence of this fungal pathogen. It also contributes to C. albicans’ tolerance of two antifungal drug classes, polyenes and echinocandins. Echinocandins inhibit biosynthesis of a major cell wall component, beta-1,3-glucan. Cells lacking Pho84 were hypersensitive to other forms of cell wall stress beyond echinocandin exposure, while their cell wall integrity signaling response was weak. Metabolomics experiments showed that levels of phosphoric intermediates, including nucleotides like ATP and nucleotide sugars, were low in pho84 mutant compared to wild-type cells recovering from phosphate starvation. Nonphosphoric precursors like nucleobases and nucleosides were elevated. Outer cell wall phosphomannan biosynthesis requires a nucleotide sugar, GDP-mannose. The nucleotide sugar UDP-glucose is the substrate of enzymes that synthesize two major structural cell wall polysaccharides, beta-1,3- and beta-1,6-glucan. Another nucleotide sugar, UDP-N-acetylglucosamine, is the substrate of chitin synthases which produce a stabilizing component of the intercellular septum and of lateral cell walls. Lack of Pho84 activity, and phosphate starvation, potentiated pharmacological or genetic perturbation of these enzymes. We posit that low substrate concentrations of beta-d-glucan- and chitin synthases, together with pharmacologic inhibition of their activity, diminish enzymatic reaction rates as well as the yield of their cell wall-stabilizing products. Phosphate import is not conserved between fungal and human cells, and humans do not synthesize beta-d-glucans or chitin. Hence, inhibiting these processes simultaneously could yield potent antifungal effects with low toxicity to humans.

IMPORTANCE Candida species cause hundreds of thousands of invasive infections with high mortality each year. Developing novel antifungal agents is challenging due to the many similarities between fungal and human cells. Maintaining phosphate balance is essential for all organisms but is achieved completely differently by fungi and humans. A protein that imports phosphate into fungal cells, Pho84, is not present in humans and is required for normal cell wall stress resistance and cell wall integrity signaling in C. albicans. Nucleotide sugars, which are phosphate-containing building block molecules for construction of the cell wall, are diminished in cells lacking Pho84. Cell wall-constructing enzymes may be slowed by lack of these building blocks, in addition to being inhibited by drugs. Combined targeting of Pho84 and cell wall-constructing enzymes may provide a strategy for antifungal therapy by which two sequential steps of cell wall maintenance are blocked for greater potency.




synthesis

Bacillus anthracis Responds to Targocil-Induced Envelope Damage through EdsRS Activation of Cardiolipin Synthesis

ABSTRACT

Bacillus anthracis is a spore-forming bacterium that causes devastating infections and has been used as a bioterror agent. This pathogen can survive hostile environments through the signaling activity of two-component systems, which couple environmental sensing with transcriptional activation to initiate a coordinated response to stress. In this work, we describe the identification of a two-component system, EdsRS, which mediates the B. anthracis response to the antimicrobial compound targocil. Targocil is a cell envelope-targeting compound that is toxic to B. anthracis at high concentrations. Exposure to targocil causes damage to the cellular barrier and activates EdsRS to induce expression of a previously uncharacterized cardiolipin synthase, which we have named ClsT. Both EdsRS and ClsT are required for protection against targocil-dependent damage. Induction of clsT by EdsRS during targocil treatment results in an increase in cardiolipin levels, which protects B. anthracis from envelope damage. Together, these results reveal that a two-component system signaling response to an envelope-targeting antimicrobial induces production of a phospholipid associated with stabilization of the membrane. Cardiolipin is then used to repair envelope damage and promote B. anthracis viability.

IMPORTANCE Compromising the integrity of the bacterial cell barrier is a common action of antimicrobials. Targocil is an antimicrobial that is active against the bacterial envelope. We hypothesized that Bacillus anthracis, a potential weapon of bioterror, senses and responds to targocil to alleviate targocil-dependent cell damage. Here, we show that targocil treatment increases the permeability of the cellular envelope and is particularly toxic to B. anthracis spores during outgrowth. In vegetative cells, two-component system signaling through EdsRS is activated by targocil. This results in an increase in the production of cardiolipin via a cardiolipin synthase, ClsT, which restores the loss of barrier function, thereby reducing the effectiveness of targocil. By elucidating the B. anthracis response to targocil, we have uncovered an intrinsic mechanism that this pathogen employs to resist toxicity and have revealed therapeutic targets that are important for bacterial defense against structural damage.




synthesis

The Functions of Chloroplast Glutamyl-tRNA in Translation and Tetrapyrrole Biosynthesis

The chloroplast glutamyl-tRNA (tRNAGlu) is unique in that it has two entirely different functions. In addition to acting in translation, it serves as the substrate of glutamyl-tRNA reductase (GluTR), the enzyme catalyzing the committed step in the tetrapyrrole biosynthetic pathway. How the tRNAGlu pool is distributed between the two pathways and whether tRNAGlu allocation limits tetrapyrrole biosynthesis and/or protein biosynthesis remains poorly understood. We generated a series of transplastomic tobacco (Nicotiana tabacum) plants to alter tRNAGlu expression levels and introduced a point mutation into the plastid trnE gene, which has been reported to uncouple protein biosynthesis from tetrapyrrole biosynthesis in chloroplasts of the protist Euglena gracilis. We show that, rather than comparable uncoupling of the two pathways, the trnE mutation is lethal in tobacco because it inhibits tRNA processing, thus preventing translation of Glu codons. Ectopic expression of the mutated trnE gene uncovered an unexpected inhibition of glutamyl-tRNA reductase by immature tRNAGlu. We further demonstrate that whereas overexpression of tRNAGlu does not affect tetrapyrrole biosynthesis, reduction of GluTR activity through inhibition by tRNAGlu precursors causes tetrapyrrole synthesis to become limiting in early plant development when active photosystem biogenesis provokes a high demand for de novo chlorophyll biosynthesis. Taken together, our findings provide insight into the roles of tRNAGlu at the intersection of protein biosynthesis and tetrapyrrole biosynthesis.




synthesis

Compensatory Guaiacyl Lignin Biosynthesis at the Expense of Syringyl Lignin in 4CL1-Knockout Poplar

The lignin biosynthetic pathway is highly conserved in angiosperms, yet pathway manipulations give rise to a variety of taxon-specific outcomes. Knockout of lignin-associated 4-coumarate:CoA ligases (4CLs) in herbaceous species mainly reduces guaiacyl (G) lignin and enhances cell wall saccharification. Here we show that CRISPR-knockout of 4CL1 in poplar (Populus tremula x alba) preferentially reduced syringyl (S) lignin, with negligible effects on biomass recalcitrance. Concordant with reduced S-lignin was downregulation of ferulate 5-hydroxylases (F5Hs). Lignification was largely sustained by 4CL5, a low-affinity paralog of 4CL1 typically with only minor xylem expression or activity. Levels of caffeate, the preferred substrate of 4CL5, increased in line with significant upregulation of caffeoyl shikimate esterase1. Upregulation of caffeoyl-CoA O-methyltransferase1 and downregulation of F5Hs are consistent with preferential funneling of 4CL5 products toward G-lignin biosynthesis at the expense of S-lignin. Thus, transcriptional and metabolic adaptations to 4CL1-knockout appear to have enabled 4CL5 catalysis at a level sufficient to sustain lignification. Finally, genes involved in sulfur assimilation, the glutathione-ascorbate cycle, and various antioxidant systems were upregulated in the mutants, suggesting cascading responses to perturbed thioesterification in lignin biosynthesis.




synthesis

De Novo Purine Biosynthesis Is Required for Intracellular Growth of Staphylococcus aureus and for the Hypervirulence Phenotype of a purR Mutant [Cellular Microbiology: Pathogen-Host Cell Molecular Interactions]

Staphylococcus aureus is a noted human and animal pathogen. Despite decades of research on this important bacterium, there are still many unanswered questions regarding the pathogenic mechanisms it uses to infect the mammalian host. This can be attributed to it possessing a plethora of virulence factors and complex virulence factor and metabolic regulation. PurR, the purine biosynthesis regulator, was recently also shown to regulate virulence factors in S. aureus, and mutations in purR result in derepression of fibronectin binding proteins (FnBPs) and extracellular toxins, required for a so-called hypervirulent phenotype. Here, we show that hypervirulent strains containing purR mutations can be attenuated with the addition of purine biosynthesis mutations, implicating the necessity for de novo purine biosynthesis in this phenotype and indicating that S. aureus in the mammalian host experiences purine limitation. Using cell culture, we showed that while purR mutants are not altered in epithelial cell binding, compared to that of wild-type (WT) S. aureus, purR mutants have enhanced invasion of these nonprofessional phagocytes, consistent with the requirement of FnBPs for invasion of these cells. This correlates with purR mutants having increased transcription of fnb genes, resulting in higher levels of surface-exposed FnBPs to promote invasion. These data provide important contributions to our understanding of how the pathogenesis of S. aureus is affected by sensing of purine levels during infection of the mammalian host.




synthesis

Heterogeneous Nuclear Ribonucleoprotein L Negatively Regulates Foot-and-Mouth Disease Virus Replication through Inhibition of Viral RNA Synthesis by Interacting with the Internal Ribosome Entry Site in the 5' Untranslated Region [Virus-Cell Interactio

Upon infection, the highly structured 5' untranslated region (5' UTR) of picornavirus is involved in viral protein translation and RNA synthesis. As a critical element in the 5' UTR, the internal ribosome entry site (IRES) binds to various cellular proteins to function in the processes of picornavirus replication. Foot-and-mouth disease virus (FMDV) is an important member in the family Picornaviridae, and its 5' UTR contains a functional IRES element. In this study, the cellular heterogeneous nuclear ribonucleoprotein L (hnRNP L) was identified as an IRES-binding protein for FMDV by biotinylated RNA pulldown assays, mass spectrometry (MS) analysis, and determination of hnRNP L-IRES interaction regions. Further, we found that hnRNP L inhibited the growth of FMDV through binding to the viral IRES and that the inhibitory effect of hnRNP L on FMDV growth was not due to FMDV IRES-mediated translation, but to influence on viral RNA synthesis. Finally, hnRNP L was demonstrated to coimmunoprecipitate with RNA-dependent RNA polymerase (3Dpol) in an FMDV RNA-dependent manner in the infected cells. Thus, our results suggest that hnRNP L, as a critical IRES-binding protein, negatively regulates FMDV replication by inhibiting viral RNA synthesis, possibly by remaining in the replication complex.

IMPORTANCE Picornaviruses, as a large family of human and animal pathogens, cause a bewildering array of disease syndromes. Many host factors are implicated in the pathogenesis of these viruses, and some proteins interact with the viral IRES elements to affect function. Here, we report for the first time that cellular hnRNP L specifically interacts with the IRES of the picornavirus FMDV and negatively regulates FMDV replication through inhibiting viral RNA synthesis. Further, our results showed that hnRNP L coimmunoprecipitates with FMDV 3Dpol in a viral RNA-dependent manner, suggesting that it may remain in the replication complex to function. The data presented here would facilitate further understanding of virus-host interactions and the pathogenesis of picornavirus infections.




synthesis

Correction: Rational design, synthesis, and evaluation of uncharged, &#x201C;smart&#x201D; bis-oxime antidotes of organophosphate-inhibited human acetylcholinesterase. [Additions and Corrections]

VOLUME 295 (2020) PAGES 4079–4092There was an error in the abstract. “The pyridinium cation hampers uptake of OPs into the central nervous system (CNS)” should read as “The pyridinium cation hampers uptake into the central nervous system (CNS).”




synthesis

SUMOylation of the transcription factor ZFHX3 at Lys-2806 requires SAE1, UBC9, and PIAS2 and enhances its stability and function in cell proliferation [Protein Synthesis and Degradation]

SUMOylation is a posttranslational modification (PTM) at a lysine residue and is crucial for the proper functions of many proteins, particularly of transcription factors, in various biological processes. Zinc finger homeobox 3 (ZFHX3), also known as AT motif-binding factor 1 (ATBF1), is a large transcription factor that is active in multiple pathological processes, including atrial fibrillation and carcinogenesis, and in circadian regulation and development. We have previously demonstrated that ZFHX3 is SUMOylated at three or more lysine residues. Here, we investigated which enzymes regulate ZFHX3 SUMOylation and whether SUMOylation modulates ZFHX3 stability and function. We found that SUMO1, SUMO2, and SUMO3 each are conjugated to ZFHX3. Multiple lysine residues in ZFHX3 were SUMOylated, but Lys-2806 was the major SUMOylation site, and we also found that it is highly conserved among ZFHX3 orthologs from different animal species. Using molecular analyses, we identified the enzymes that mediate ZFHX3 SUMOylation; these included SUMO1-activating enzyme subunit 1 (SAE1), an E1-activating enzyme; SUMO-conjugating enzyme UBC9 (UBC9), an E2-conjugating enzyme; and protein inhibitor of activated STAT2 (PIAS2), an E3 ligase. Multiple analyses established that both SUMO-specific peptidase 1 (SENP1) and SENP2 deSUMOylate ZFHX3. SUMOylation at Lys-2806 enhanced ZFHX3 stability by interfering with its ubiquitination and proteasomal degradation. Functionally, Lys-2806 SUMOylation enabled ZFHX3-mediated cell proliferation and xenograft tumor growth of the MDA-MB-231 breast cancer cell line. These findings reveal the enzymes involved in, and the functional consequences of, ZFHX3 SUMOylation, insights that may help shed light on ZFHX3's roles in various cellular and pathophysiological processes.




synthesis

Inhibition of glycosphingolipid biosynthesis reverts multidrug resistance by differentially modulating ABC transporters in chronic myeloid leukemias [Cell Biology]

Multidrug resistance (MDR) in cancer arises from cross-resistance to structurally- and functionally-divergent chemotherapeutic drugs. In particular, MDR is characterized by increased expression and activity of ATP-binding cassette (ABC) superfamily transporters. Sphingolipids are substrates of ABC proteins in cell signaling, membrane biosynthesis, and inflammation, for example, and their products can favor cancer progression. Glucosylceramide (GlcCer) is a ubiquitous glycosphingolipid (GSL) generated by glucosylceramide synthase, a key regulatory enzyme encoded by the UDP-glucose ceramide glucosyltransferase (UGCG) gene. Stressed cells increase de novo biosynthesis of ceramides, which return to sub-toxic levels after UGCG mediates incorporation into GlcCer. Given that cancer cells seem to mobilize UGCG and have increased GSL content for ceramide clearance, which ultimately contributes to chemotherapy failure, here we investigated how inhibition of GSL biosynthesis affects the MDR phenotype of chronic myeloid leukemias. We found that MDR is associated with higher UGCG expression and with a complex GSL profile. UGCG inhibition with the ceramide analog d-threo-1-(3,4,-ethylenedioxy)phenyl-2-palmitoylamino-3-pyrrolidino-1-propanol (EtDO-P4) greatly reduced GSL and monosialotetrahexosylganglioside levels, and co-treatment with standard chemotherapeutics sensitized cells to mitochondrial membrane potential loss and apoptosis. ABC subfamily B member 1 (ABCB1) expression was reduced, and ABCC-mediated efflux activity was modulated by competition with nonglycosylated ceramides. Consistently, inhibition of ABCC-mediated transport reduced the efflux of exogenous C6-ceramide. Overall, UGCG inhibition impaired the malignant glycophenotype of MDR leukemias, which typically overcomes drug resistance through distinct mechanisms. This work sheds light on the involvement of GSL in chemotherapy failure, and its findings suggest that targeted GSL modulation could help manage MDR leukemias.




synthesis

Inhibition of the polyamine synthesis enzyme ornithine decarboxylase sensitizes triple-negative breast cancer cells to cytotoxic chemotherapy [Molecular Bases of Disease]

Treatment of patients with triple-negative breast cancer (TNBC) is limited by a lack of effective molecular therapies targeting this disease. Recent studies have identified metabolic alterations in cancer cells that can be targeted to improve responses to standard-of-care chemotherapy regimens. Using MDA-MB-468 and SUM-159PT TNBC cells, along with LC-MS/MS and HPLC metabolomics profiling, we found here that exposure of TNBC cells to the cytotoxic chemotherapy drugs cisplatin and doxorubicin alter arginine and polyamine metabolites. This alteration was because of a reduction in the levels and activity of a rate-limiting polyamine biosynthetic enzyme, ornithine decarboxylase (ODC). Using gene silencing and inhibitor treatments, we determined that the reduction in ODC was mediated by its negative regulator antizyme, targeting ODC to the proteasome for degradation. Treatment with the ODC inhibitor difluoromethylornithine (DFMO) sensitized TNBC cells to chemotherapy, but this was not observed in receptor-positive breast cancer cells. Moreover, TNBC cell lines had greater sensitivity to single-agent DFMO, and ODC levels were elevated in TNBC patient samples. The alterations in polyamine metabolism in response to chemotherapy, as well as DFMO-induced preferential sensitization of TNBC cells to chemotherapy, reported here suggest that ODC may be a targetable metabolic vulnerability in TNBC.




synthesis

COMT-Catalyzed Palmitic Acid Methyl Ester Biosynthesis in Perivascular Adipose Tissue and its Potential Role Against Hypertension [Cardiovascular]

Decreased release of palmitic acid methyl ester (PAME), a vasodilator, from perivascular adipose tissue (PVAT) might contribute to hypertension pathogenesis. However, the PAME biosynthetic pathway remains unclear. In this study, we hypothesized that PAME is biosynthesized from palmitic acid (PA) via human catechol-O-methyltransferase (COMT) catalysis and that decreased PAME biosynthesis plays a role in hypertension pathogenesis. We compared PAME biosynthesis between age-matched normotensive Wistar Kyoto (WKY) rats and hypertensive spontaneously hypertensive rats (SHRs) and investigated the effects of losartan treatment on PAME biosynthesis. Computational molecular modeling indicated that PA binds well at the active site of COMT. Furthermore, in in vitro enzymatic assays in the presence of COMT and S-5'-adenosyl-L-methionine (AdoMet), the stable isotope [13C16]-PA was methylated to form [13C16]-PAME in incubation medium or the Krebs–Henseleit solution containing 3T3-L1 adipocytes or rat PVAT. The adipocytes and PVATs expressed membrane-bound (MB)-COMT and soluble (S)-COMT proteins. [13C16]-PA methylation to form [13C16]-PAME in 3T3-L1 adipocytes and rat PVAT was blocked by various COMT inhibitors, such as S-(5'-adenosyl)-L-homocysteine, adenosine-2',3'-dialdehyde, and tolcapone. MB- and S-COMT levels in PVATs of established SHRs were significantly lower than those in PVATs of age-matched normotensive WKY rats, with decreased [13C16]-PA methylation to form [13C16]-PAME. This decrease was reversed by losartan, an angiotensin II (Ang II) type 1 receptor antagonist. Therefore, PAME biosynthesis in rat PVAT is dependent on AdoMet, catalyzed by COMT, and decreased in SHRs, further supporting the role of PVAT/PAME in hypertension pathogenesis. Moreover, the antihypertensive effect of losartan might be due partly to its increased PAME biosynthesis.

SIGNIFICANCE STATEMENT

PAME is a key PVAT-derived relaxing factor. We for the first time demonstrate that PAME is synthesized through PA methylation via the S-5'-adenosyl-L-methionine–dependent COMT catalyzation pathway. Moreover, we confirmed PVAT dysfunction in the hypertensive state. COMT-dependent PAME biosynthesis is involved in Ang II receptor type 1–mediated blood pressure regulation, as evidenced by the reversal of decreased PAME biosynthesis in PVAT by losartan in hypertensive rats. This finding might help in developing novel therapeutic or preventive strategies against hypertension.




synthesis

Evaluation of an Automated Module Synthesis and a Sterile Cold Kit-Based Preparation of 68Ga-PSMA-11 in Patients with Prostate Cancer

68Ga-labeled urea-based inhibitors of the prostate-specific membrane antigen (PSMA), such as 68Ga-PSMA-11, are promising small molecules for targeting prostate cancer (PCa). Although this radiopharmaceutical was produced mostly by means of manual synthesis and automated synthesis modules, a sterile cold kit was recently introduced. The aim of our study was to evaluate the image quality of 68Ga-PSMA-11 PET/CT (PSMA-PET) in a population of PCa patients after the injection of comparable activities of 68Ga-PSMA-11 obtained with the 2 different synthetic procedures. A secondary aim was to identify secondary factors that may have an impact on image quality and, thus, final interpretation. Methods: Two different groups of 100 consecutive PCa patients who underwent PSMA-PET were included in the study. The first group of patients was imaged with 68Ga-PSMA-11 obtained using synthesis modules, whereas the second group’s tracer activity was synthesized using a sterile cold kit. All PET images were independently reviewed by 2 nuclear medicine diagnosticians with at least 2 y of experience in PSMA-based imaging and unaware of the patients’ clinical history. The 2 reviewers independently rated the quality of each PSMA-PET scan using a 3-point Likert-type scale. In cases of discordance, the operators together reviewed the images and reached a consensus. Performance was evaluated on the basis of the expected biodistribution, lesion detection rate, and physiologic background uptake. Results: Overall, 104 of 200 (52%) PSMA-PET scans were positive for PCa-related findings. No significant differences in image quality between cold kits and synthesis modules were found (P = 0.13), although a higher proportion of images was rated as excellent by the observers for kits than for modules (45% vs. 34%). Furthermore, after image quality had been dichotomized as excellent or not excellent, multivariate regression analysis found several factors to be significantly associated with a not-excellent quality: an increase in patient age (+5 y: odds ratio [OR], 1.40; 95% confidence interval [CI], 1.12–1.75), an increase in patient weight (+5 kg: OR, 1.89; 95% CI, 1.53–2.32), an increase in 68Ga-PSMA-11 uptake time (+10 min: OR, 1.45; 95% CI, 1.08–1.96), and a decrease in injected activity (–10 MBq: OR, 1.28; 95% CI, 1.07–1.52). Conclusion: No significant differences were identified between the 2 groups of patients undergoing PSMA-PET; therefore, we were not able to ascertain any significant influences of tracer production methodology on final scan quality. However, increased patient age, increased patient weight, decreased injected activity, and increased 68Ga-PSMA-11 uptake time were significantly associated with an overall poorer image quality.




synthesis

Impacts of Operational Failures on Primary Care Physicians Work: A Critical Interpretive Synthesis of the Literature [Systematic Review]

PURPOSE

Operational failures are system-level errors in the supply of information, equipment, and materials to health care personnel. We aimed to review and synthesize the research literature to determine how operational failures in primary care affect the work of primary care physicians.

METHODS

We conducted a critical interpretive synthesis. We searched 7 databases for papers published in English from database inception until October 2017 for primary research of any design that addressed problems interfering with primary care physicians’ work. All potentially eligible titles/abstracts were screened by 1 reviewer; 30% were subject to second screening. We conducted an iterative critique, analysis, and synthesis of included studies.

RESULTS

Our search retrieved 8,544 unique citations. Though no paper explicitly referred to "operational failures," we identified 95 papers that conformed to our general definition. The included studies show a gap between what physicians perceived they should be doing and what they were doing, which was strongly linked to operational failures—including those relating to technology, information, and coordination—over which physicians often had limited control. Operational failures actively configured physicians’ work by requiring significant compensatory labor to deliver the goals of care. This labor was typically unaccounted for in scheduling or reward systems and had adverse consequences for physician and patient experience.

CONCLUSIONS

Primary care physicians’ efforts to compensate for suboptimal work systems are often concealed, risking an incomplete picture of the work they do and problems they routinely face. Future research must identify which operational failures are highest impact and tractable to improvement.




synthesis

Impacts of Operational Failures on Primary Care Physicians Work: A Critical Interpretive Synthesis of the Literature [Departments]




synthesis

Vib-PT, an Aromatic Prenyltransferase Involved in the Biosynthesis of Vibralactone from Stereum vibrans [Enzymology and Protein Engineering]

Vibralactone, a hybrid compound derived from phenols and a prenyl group, is a strong pancreatic lipase inhibitor with a rare fused bicyclic β-lactone skeleton. Recently, a researcher reported a vibralactone derivative (compound C1) that caused inhibition of pancreatic lipase with a half-maximal inhibitory concentration of 14 nM determined by structure-based optimization, suggesting a potential candidate as a new antiobesity treatment. In the present study, we sought to identify the main gene encoding prenyltransferase in Stereum vibrans, which is responsible for the prenylation of phenol leading to vibralactone synthesis. Two RNA silencing transformants of the identified gene (vib-PT) were obtained through Agrobacterium tumefaciens-mediated transformation. Compared to wild-type strains, the transformants showed a decrease in vib-PT expression ranging from 11.0 to 56.0% at 5, 10, and 15 days in reverse transcription-quantitative PCR analysis, along with a reduction in primary vibralactone production of 37 to 64% at 15 and 21 days, respectively, as determined using ultra-high-performance liquid chromatography-mass spectrometry analysis. A soluble and enzymatically active fusion Vib-PT protein was obtained by expressing vib-PT in Escherichia coli, and the enzyme’s optimal reaction conditions and catalytic efficiency (Km/kcat) were determined. In vitro experiments established that Vib-PT catalyzed the C-prenylation at C-3 of 4-hydroxy-benzaldehyde and the O-prenylation at the 4-hydroxy of 4-hydroxy-benzenemethanol in the presence of dimethylallyl diphosphate. Moreover, Vib-PT shows promiscuity toward aromatic compounds and prenyl donors.

IMPORTANCE Vibralactone is a lead compound with a novel skeleton structure that shows strong inhibitory activity against pancreatic lipase. Vibralactone is not encoded by the genome directly but rather is synthesized from phenol, followed by prenylation and other enzyme reactions. Here, we used an RNA silencing approach to identify and characterize a prenyltransferase in a basidiomycete species that is responsible for the synthesis of vibralactone. The identified gene, vib-PT, was expressed in Escherichia coli to obtain a soluble and enzymatically active fusion Vib-PT protein. In vitro characterization of the enzyme demonstrated the catalytic mechanism of prenylation and broad substrate range for different aromatic acceptors and prenyl donors. These characteristics highlight the possibility of Vib-PT to generate prenylated derivatives of aromatics and other compounds as improved bioactive agents or potential prodrugs.




synthesis

Two Functional Fatty Acyl Coenzyme A Ligases Affect Free Fatty Acid Metabolism To Block Biosynthesis of an Antifungal Antibiotic in Lysobacter enzymogenes [Environmental Microbiology]

In Lysobacter enzymogenes OH11, RpfB1 and RpfB2 were predicted to encode acyl coenzyme A (CoA) ligases. RpfB1 is located in the Rpf gene cluster. Interestingly, we found an RpfB1 homolog (RpfB2) outside this canonical gene cluster, and nothing is known about its functionality or mechanism. Here, we report that rpfB1 and rpfB2 can functionally replace EcFadD in the Escherichia coli fadD mutant JW1794. RpfB activates long-chain fatty acids (n-C16:0 and n-C18:0) for the corresponding fatty acyl-CoA ligase (FCL) activity in vitro, and Glu-361 plays critical roles in the catalytic mechanism of RpfB1 and RpfB2. Deletion of rpfB1 and rpfB2 resulted in significantly increased heat-stable antifungal factor (HSAF) production, and overexpression of rpfB1 or rpfB2 completely suppressed HSAF production. Deletion of rpfB1 and rpfB2 resulted in increased L. enzymogenes diffusible signaling factor 3 (LeDSF3) synthesis in L. enzymogenes. Overall, our results showed that changes in intracellular free fatty acid levels significantly altered HSAF production. Our report shows that intracellular free fatty acids are required for HSAF production and that RpfB affects HSAF production via FCL activity. The global transcriptional regulator Clp directly regulated the expression of rpfB1 and rpfB2. In conclusion, these findings reveal new roles of RpfB in antibiotic biosynthesis in L. enzymogenes.

IMPORTANCE Understanding the biosynthetic and regulatory mechanisms of heat-stable antifungal factor (HSAF) could improve the yield in Lysobacter enzymogenes. Here, we report that RpfB1 and RpfB2 encode acyl coenzyme A (CoA) ligases. Our research shows that RpfB1 and RpfB2 affect free fatty acid metabolism via fatty acyl-CoA ligase (FCL) activity to reduce the substrate for HSAF synthesis and, thereby, block HSAF production in L. enzymogenes. Furthermore, these findings reveal new roles for the fatty acyl-CoA ligases RpfB1 and RpfB2 in antibiotic biosynthesis in L. enzymogenes. Importantly, the novelty of this work is the finding that RpfB2 lies outside the Rpf gene cluster and plays a key role in HSAF production, which has not been reported in other diffusible signaling factor (DSF)/Rpf-producing bacteria.




synthesis

The Iron Deficiency Response of Corynebacterium glutamicum and a Link to Thiamine Biosynthesis [Physiology]

The response to iron limitation of the Gram-positive soil bacterium Corynebacterium glutamicum was analyzed with respect to secreted metabolites, the transcriptome, and the proteome. During growth in glucose minimal medium, iron limitation caused a shift from lactate to pyruvate as the major secreted organic acid complemented by l-alanine and 2-oxoglutarate. Transcriptome and proteome analyses revealed that a pronounced iron starvation response governed by the transcriptional regulators DtxR and RipA was detectable in the late, but not in the early, exponential-growth phase. A link between iron starvation and thiamine pyrophosphate (TPP) biosynthesis was uncovered by the strong upregulation of thiC. As phosphomethylpyrimidine synthase (ThiC) contains an iron-sulfur cluster, limiting activities of the TPP-dependent pyruvate–2-oxoglutarate dehydrogenase supercomplex probably cause the excretion of pyruvate and 2-oxoglutarate. In line with this explanation, thiamine supplementation could strongly diminish the secretion of these acids. The upregulation of thiC and other genes involved in thiamine biosynthesis and transport is presumably due to TPP riboswitches present at the 5' end of the corresponding operons. The results obtained in this study provide new insights into iron homeostasis in C. glutamicum and demonstrate that the metabolic consequences of iron limitation can be due to the iron dependency of coenzyme biosynthesis.

IMPORTANCE Iron is an essential element for most organisms but causes problems due to poor solubility under oxic conditions and due to toxicity by catalyzing the formation of reactive oxygen species (ROS). Therefore, bacteria have evolved complex regulatory networks for iron homeostasis aiming at a sufficient iron supply while minimizing ROS formation. In our study, the responses of the actinobacterium Corynebacterium glutamicum to iron limitation were analyzed, resulting in a detailed view on the processes involved in iron homeostasis in this model organism. In particular, we provide evidence that iron limitation causes TPP deficiency, presumably due to insufficient activity of the iron-dependent phosphomethylpyrimidine synthase (ThiC). TPP deficiency was deduced from the upregulation of genes controlled by a TPP riboswitch and secretion of metabolites caused by insufficient activity of the TPP-dependent enzymes pyruvate dehydrogenase and 2-oxoglutarate dehydrogenase. To our knowledge, the link between iron starvation and thiamine synthesis has not been elaborated previously.




synthesis

A Biosynthetic Platform for Antimalarial Drug Discovery [Chemistry; Biosynthesis]

Advances in synthetic biology have enabled the production of a variety of compounds using bacteria as a vehicle for complex compound biosynthesis. Violacein, a naturally occurring indole pigment with antibiotic properties, can be biosynthetically engineered in Escherichia coli expressing its nonnative synthesis pathway. To explore whether this synthetic biosynthesis platform could be used for drug discovery, here we have screened bacterially derived violacein against the main causative agent of human malaria, Plasmodium falciparum. We show the antiparasitic activity of bacterially derived violacein against the P. falciparum 3D7 laboratory reference strain as well as drug-sensitive and -resistant patient isolates, confirming the potential utility of this drug as an antimalarial agent. We then screen a biosynthetic series of violacein derivatives against P. falciparum growth. The varied activity of each derivative against asexual parasite growth points to the need to further develop violacein as an antimalarial. Towards defining its mode of action, we show that biosynthetic violacein affects the parasite actin cytoskeleton, resulting in an accumulation of actin signal that is independent of actin polymerization. This activity points to a target that modulates actin behavior in the cell either in terms of its regulation or its folding. More broadly, our data show that bacterial synthetic biosynthesis could become a suitable platform for antimalarial drug discovery, with potential applications in future high-throughput drug screening with otherwise chemically intractable natural products.




synthesis

Synthesis and Biological Activity of Novel Zinc-Itraconazole Complexes in Protozoan Parasites and Sporothrix spp. [Susceptibility]

The new complexes Zn(ITZ)2Cl2 (1) and Zn(ITZ)2(OH)2 (2) were synthetized by a reaction of itraconazole with their respective zinc salts under reflux. These Zn-ITZ complexes were characterized by elemental analyses, molar conductivity, mass spectrometry, 1H and 13C{1H} nuclear magnetic resonance, and UV-vis and infrared spectroscopies. The antiparasitic and antifungal activity of Zn-ITZ complexes was evaluated against three protozoans of medical importance, namely, Leishmania amazonensis, Trypanosoma cruzi, and Toxoplasma gondii, and two fungi, namely, Sporothrix brasiliensis and Sporothrix schenckii. The Zn-ITZ complexes exhibited a broad spectrum of action, with antiparasitic and antifungal activity in low concentrations. The strategy of combining zinc with ITZ was efficient to enhance ITZ activity since Zn-ITZ-complexes were more active than the azole alone. This study opens perspectives for future applications of these Zn-ITZ complexes in the treatment of parasitic diseases and sporotrichosis.