ic Virtuosity: Custom IC Design Flow/Methodology - Circuit Physical Verification & Parasitic Extraction By community.cadence.com Published On :: Fri, 29 Jul 2022 18:26:00 GMT Read this blog for an overview to the Circuit physical verification and parasitic extraction design stage in the Custom IC Design methodology and the key design steps which can help you achieve this.(read more) Full Article design rule violations Extraction Layout versus schematic Physical Verification System (PVS) Virtuoso Quantus Extraction Solution PVS Custom IC Design parasitics
ic Virtuoso ICADVM20.1 ISR27 and IC6.1.8 ISR27 Now Available By community.cadence.com Published On :: Wed, 24 Aug 2022 11:50:00 GMT The ICADVM20.1 ISR27 and IC6.1.8 ISR27 production releases are now available for download.(read more) Full Article Analog Design Environment Cadence blogs ICADVM18.1 ADE Explorer cadence Virtuoso RF Solution IC Release Announcement blog Virtuoso Visualization and Analysis XL Layout EXL Virtuoso Analog Design Environment ICADVM20.1 IC Release Blog Custom IC Design Custom IC IC6.1.8 ADE Assembler Virtuoso Layout Suite XL
ic Virtuosity: Driving Super-efficient Chip Design with Voltus-XFi Custom Power Integrity Solution By community.cadence.com Published On :: Tue, 30 Aug 2022 13:39:00 GMT This blog introduces the new Voltus-XFi Custom Power Integrity Solution, a transistor-level EM-IR tool that enables designers to complete comprehensive analysis and debugging easily and quickly.(read more) Full Article Voltus-XFi EMIR Analysis EMIR Simulation EMIR Extraction Virtuoso Analog Design Environment Custom IC Design
ic updating a dymanic shape By community.cadence.com Published On :: Thu, 31 Oct 2024 08:12:06 GMT hello is there a way to update one dynamic shape instead of updating all dynamic shapes? i have over 6000 dynamic shapes on my design and it takes over 10 mins to update them all. i just would like to update only one dynamic shape sometimes to find out if placing vias and lines in a shape has enough space or not. regards masa Full Article
ic Place replicate update default behaviour By community.cadence.com Published On :: Mon, 04 Nov 2024 07:39:41 GMT The default behaviour of Place replicate update is to select every new net item connected to the replicate module. This leads to an abundant number of clines, vias and shapes being selected, most of which I don't want to add to the replicate group. It is very tedious to unselect all these items and more often than not, I miss one or two items and then end up with a via or cline in a completely different place on the board or outside of the board. Is there a way to change this rather annoying behaviour? I haven't found any way to disable it or to batch deselect everything the tool has decided to add to the replicate group. The question has been asked before, but it didn't get any answers and the thread is now locked. /F Full Article
ic Voltus Voice: Breaking Ground with Voltus InsightAI—Swift Implementation via RAK By community.cadence.com Published On :: Mon, 01 Jul 2024 05:17:00 GMT The blog discusses Voltus InsightAI RAK that is designed to give you an accelerated start on the execution of Voltus InsightAI flow.(read more) Full Article artificial intelligence Silicon Signoff and Verification Voltus IC Power Integrity Solution Innovus Implementation System Generative AI Power Integrity Voltus InsightAI Rapid Adoption Kits
ic Online Course: Start Learning About 3D-IC Technology By community.cadence.com Published On :: Mon, 29 Jul 2024 21:50:00 GMT Designing 3D-ICs with integrity involves a commitment to ethical practices, reliability, and sustainability throughout the design and manufacturing process. This includes using environmentally friendly materials, ensuring robust and efficient performance, and incorporating thorough testing and verification. By prioritizing transparency, responsibility, and long-term sustainability, designers can create advanced integrated circuits that meet high standards of quality and social responsibility. Start Learning Now! Start with our Designing with Integrity 3D-IC online course, which introduces Integrity 3D-IC, the industry's first comprehensive, high-capacity 3D-IC platform that integrates 3D design planning, implementation, and system analysis in a single, unified environment. You will be guided through the following activities involved in designing a silicon interposer with a digital ASIC and HBM2 interface in a 2.5D configuration. You will design the interposer from scratch in the new Integrity System Planner and the Integrity 3D-IC implementation environment. You will examine the ASIC and interposer designs using some of the new 3D-IC multi-die design features. You will route the interposer using some of the new advanced routing capabilities with NanoRoute —and this in only two days! WATCH VIDEO Interested? Get an overview in less than two minutes. Are you primarily interested in selected snippets instead? Then, take our Training Bytes, which—like the online training course—are available to Cadence customers for free 24/7 in the Cadence Learning and Support portal. Cadence Training Services now offers free Digital Badges for all popular online training courses. These badges indicate proficiency in a certain technology or skill and give you a way to validate your expertise to managers and potential employers. You can add the digital badge to your email signature or any social media channels, such as Facebook or LinkedIn, to highlight your expertise. To find out more, see the blog post. It’s the Digital Era; Why Not Showcase Your Brand Through a Digital Badge! Related Resources 3D-IC Introduction (Video) 3D-IC Development Process With Challenges. (Video) Demo: How to Create the Interposer Contact Pads and Die Connectivity Using the Integrity 3D-IC? (Video) Demo: How To Pull The Interposer Design From System Planner Into Integrity 3D-IC Layout? (Video) Demo: Routing The Interposer Design Using The Integrity 3D-IC Layout_Part 1 (Video) Demo: Routing The Interposer Design Using The Integrity 3D-IC Layout_Part 2 (Video) Demo: How To Create C4 Bumps For NC Connections And Generating C4 Dummy Cover Bumps In Integrity 3D-IC? (Video) Related Blogs How Cadence Is Expanding Innovation for 3D-IC Design Training Bytes: They May Be Shorter, But the Impact Is Stronger! Training Insights — 3D-IC: What Is Silicon Interposer? System Analysis Knowledge Bytes: What’s New in the Clarity 3D Solver Course System Analysis Knowledge Bytes - Early System-Level Thermal Analysis 3D-IC: The Future of Integrated Electronics Is the Future of Electronics Itself Related Trainings OrbitIO System Planner Allegro Package Designer Plus Full Article Integrity 3D-IC Platform 3D-IC 2.5DiC Digital Implementation Innovus moore's law 3D-IC Technology heterogenous integration Allegro system planner
ic Technical Webinar: A Beginner’s Guide to RTL-to-GDSII Front-End Flow By community.cadence.com Published On :: Wed, 21 Aug 2024 06:23:00 GMT In this training webinar, we explore the concepts of RTL design, design verification, and coverage analysis while unveiling the exciting world of front-end design flow. We will guide you through the essential steps in creating integrated circuits, the building blocks of modern electronics. We’ll break down the process into manageable stages, from defining the chip’s functionality to its physical realization. We’ll investigate the front-end part of the RTL-to-GDSII flow—from specification to functional verification and design coverage—and explore: Key concepts of specifying chip behavior and performance How to translate ideas into a digital blueprint and transform that into a design How to ensure your design is free of errors This webinar provides practical knowledge, making it your gateway to understanding the magic behind RTL-to-GDSII front-end design flow. When Is the Webinar? Date and Time Wednesday, September 18, 202407:00 PDT San Jose / 10:00 EDT New York / 15:00 BST London / 16:00 CEST Munich / 17:00 IDT Jerusalem / 19:30 IST Bangalore / 22:00 CST Beijing REGISTER To register for this webinar, sign in with your Cadence Support account (email ID and password) to log in to the Learning and Support System. Then select Enroll to register for the session. Once registered, you’ll receive a confirmation email containing all login details. If you don’t have a Cadence Support account, go to Cadence User Registration and complete the requested information. Or visit Registration Help. For inquiries or issues with registration, reach out to eur_training@cadence.com.For inquiries or issues with registration, reach out to eur_training@cadence.com. To view our complete training offerings, visit the Cadence Training website. Want to share this and other great Cadence learning opportunities with someone else? Tell them to subscribe. Want to Learn More? This link gives you more information about the related training course and a link to enroll: Cadence RTL-to-GDSII Flow Training The course includes slides with audio and downloadable laboratory exercises designed to emphasize the topics covered in the lecture. There is also a Digital Badge available for the training. The online class is free for all Cadence customers with a Cadence Learning and Support Portal account. For instructor-led training sessions "Live" or "Blended" please contact Cadence Training. Also, take this opportunity to register for the free Online Trainings related to this webinar topic. Cadence RTL-to-GDSII Flow Xcelium Simulator Verilog Language and Application Xcelium Integrated Coverage Related Training Bytes How to Run the Synthesis Without DFT? How to Run the Synthesis Flow with DFT? (Video) Related Blogs Did You Miss the RTL-to-GDSII Webinar? No Worries, the Recording Is Available! Training Insights – Why Is RTL Translated into Gate-Level Netlist? Training Bytes: They May Be Shorter, But the Impact Is Stronger! Cadence Support - A Round-the-Clock Problem Solver, Webinar Recording Available! Full Article COS IMC IC DFT Integrated Metrics Center IP chip design webinars verification engineers Xcelium Logic Simulator training Mixed-Signal Logic Design coverage analysis RTL-to-GDSII FrontEnd training bytes system verilog Freshly Graduate Cadence RTL-to-GDSII Flow Technical webinar RTL2GDSII RTL design online training HLS VHDL vManager Verisuim
ic Unlocking the Concepts of IEEE 1801 Standard for Efficient Power Management By community.cadence.com Published On :: Tue, 10 Sep 2024 05:53:00 GMT Power efficiency is a critical factor in the fast-evolving world of semiconductor design. The IEEE 1801 standard, also known as UPF (Unified Power Format), was developed by the IEEE to address the intricate challenges associated with power management in contemporary semiconductor designs. This standard offers a uniform framework for defining power domains, power states, and power intent, ensuring consistency across diverse tools and phases of the design process. By utilizing UPF, you can precisely model and regulate power consumption, a critical aspect for battery-operated devices, high-performance computing, and energy-efficient designs. The key concepts of IEEE 1801 are: Power domains Power states Power gating and isolation Power switches Level shifters, isolation, and retention cells Macro model Based on these building blocks, you write the power intent of the design. The power intent for the design includes identifying/implementing low-power strategies that provide a clear description of the power architecture of a design. The power definitions can effectively manage power consumption and ensure the chip meets its power and performance requirements. You can start by creating the Power Supply Network, which defines how power is supplied to the design's various power domains and logic cells. What's the next step to build the file? How do you understand the various concepts related to IEEE 1801? How do you complete the rest of the power intent file? Relax! Gear up to attend the training class created just for you to dive deep into the entire format and explore this exciting power specification method/format with hands-on labs in one day! Training Fundamentals of IEEE 1801 Low-Power Specification Format Training This course is a complete tutorial for understanding the fundamentals of IEEE 1801 low-power specification format concepts. You learn about IEEE 1801 power supply networks, ground ports and nets, creating and connecting supply ports/nets, power domain, power switch, power states, defining isolation and level shifter strategies, hierarchical IEEE 1801, and various versions of the IEEE 1801. You also explore how power intent information can be used for a design across various flow stages, such as functional verification, synthesis, logic equivalency checking, place-and-route, test, timing signoff, power integrity, and so forth, using Cadence® tools. Labs We ensure that your learning journey is smooth with hands-on labs covering various design scenarios. Lab Videos Now, the exciting part is that to help you further, we have created engaging videos of the training labs. You can refer to the lab module's instructions in demo format at https://support.cadence.com. Lab Demo: Checking Power Supply Network in IEEE 1801 format and Running IEEE 1801 Quality Checks using Conformal Low Power Lab Demo: Checking Power Intent for The Macro Connections in IEEE 1801 Format And Running IEEE 1801 Quality Checks using Conformal Low Power Online Class Here is the course link. Get ready for the most thrilling experience with Accelerated Learning! The more you know, the faster you go! Grab the cycle or hike it, based on your existing knowledge. Take the quiz and increase your learning pace!! What's Next? Grab your Badge after finishing the training and flaunt the expertise you have built up. 😊 Ready to take a tour of this power specification world? Let's help you enroll in this course. We organize this training for you as a "Blended" or "Live" training. Please reach out to Cadence Training for further information. If you want to ensure you are always the first to know about anything new in training, you can use the SUBSCRIBE button on the landing page to sign up for our regular training newsletters. Related Short Training Bytes/Videos Enhance the learning experience with short videos: Genus Synthesis Solution: Video Library Joules RTL Power Solution: Video Library Related Training Low-Power Synthesis Flow with Genus Synthesis Solution Genus Low-Power Synthesis Flow with IEEE 1801 Related Blogs It's the Digital Era; Why Not Showcase Your Brand Through a Digital Badge! - Digital Design - Cadence Blogs - Cadence Community Relax in Summer with Cooler IC chips and Ice-Cream! Do you want to Explore the Recipe? - Digital Design - Cadence Blogs - Cadence Community Power Is HOT and Touches Everything and Everybody! But the Challenge Is To Deal With Low Power During Design Synthesis; How? - Digital Design - Cadence Blogs - Cadence Community Binge on Chip Design Concepts this Weekend! - Digital Design - Cadence Blogs - Cadence Community Full Article Low Power IEEE 1801 training training bytes UPF Power Analysis
ic Artificial Intelligence: Accelerating Knowledge in the Digital Age! By community.cadence.com Published On :: Wed, 09 Oct 2024 07:15:00 GMT In an era of abundant and constantly evolving information, the challenge is not just accessing knowledge but understanding and applying it effectively. AI is a transformative technology that is reshaping how we learn, work, and grow. In this blog, we’ll explore how AI accelerates our knowledge acquisition and understand how it can relate to the process of learning, which connects with our daily lives. The role of AI is to accelerate knowledge by personalizing learning experiences, providing instant access to information, and offering data-driven insights. AI empowers us to learn more efficiently and effectively in many ways. I won't go into much detail, as we are already busy searching for the meaning of AI and what it can do; however, I want to share one inspiring fact about AI. It can analyze vast amounts of data in seconds, making sense of complex information and providing instantaneous actionable insights or concise answers. I understand that humans are looking to speed up things, which can help us understand technology better and perform our tasks faster. The main reason AI is in focus is because of its ability to perform tasks faster than ever. We aim to enhance the performance of all our products, including the everyday household electronic items we use. Similarly, are we striving to accelerate the learning process? I am committed to assisting you, and one such method is concise, short (minute-long) videos. In today's fast-paced world, where attention spans are shorter than ever, the rise of social media platforms has made it easier for anyone to create and share short videos. This is where minute videos come in. These bite-sized clips offer a quick and engaging way to deliver information to the audience with a significant impact. Understanding the definitions of technical terms in VLSI Design can often be accomplished in just a minute. Below are the definitions of the essential stages in the RTL2GDSII Flow. For further reference, these definitions are also accessible on YouTube. What is RTL Coding in VLSI Design? What is Digital Verification? What Is Synthesis in VLSI Design? What Is Logic Equivalence Checking in VLSI Design? What Is DFT in VLSI Design? What is Digital Implementation? What is Power Planning? What are DRC and LVS in Physical Verification? What are On-Chip Variations? Want to Learn More? The Cadence RTL-to-GDSII Flow training is available as both "Blended" and "Live" Please reach out to Cadence Training for further information. And don't forget to obtain your Digital Badge after completing the training! You can check out a free Online Version of the training above, which is available 24/7 for all customers with a Cadence Learning ans Support Portal You will also have access to our Training Byte Library then which is full of hundres of troubleshooting videos, like the following: What is Digital Implementation? You can find more instructions how to get the best out of the Portal in this blog. If you would like to stay up-to-date with the latest news and information about Cadence trainings and webinars, subscribe to the Cadence Training emails. Related Blogs Training Insights – Why Is RTL Translated into Gate-Level Netlist? Did You Miss the RTL-to-GDSII Webinar? No Worries, the Recording Is Available! It’s the Digital Era; Why Not Showcase Your Brand Through a Digital Badge! Binge on Chip Design Concepts this Weekend! Full Article artificial intelligence training youtube videos training bytes Digital Implementation digital full flow RTL2GDSII VLSI Design Cadence support
ic A Magical World - The Incredible Clock Tree Wizard to Augment Productivity and QoR! By community.cadence.com Published On :: Mon, 11 Nov 2024 13:00:00 GMT In the era of Artificial Intelligence, front-end designers need a magical key to empower them with technology that enables fully optimized RTL for implementation handoff and provides RTL designers with capabilities to accurately assist in the implementation convergence process. The magic lies with Cadence Joules RTL Design Studio, an expert system that leverages generative AI for RTL design exploration, triages possible causes of violations, and additional insights that empower designers to understand how to address issues in their RTL, leading to smarter and more efficient chip design. This unlocks the immense debugging and design analysis capabilities from a single, unified cockpit, enabling fully optimized RTL design prior to implementation handoff for the front-end designers and addresses all aspects of physical design by adding visibility into power, performance, area, and congestion (PPAC) One critical component is the clock tree, which distributes the clock signal to all sequential elements, such as flip-flops and latches. Designers need the right techniques in the beginning stage to optimize the clock tree structure, ensuring that their designs meet the required timing specifications, reduce power consumption, maintain signal integrity, and increase reliability. This incredible feature is part of the Joules RTL Design Studio. How do you efficiently explore the clock tree structure to optimize the results using Joules RTL Design Studio? Joules Studio allows viewing a simplified version of the clock structure. This feature is primarily designed to help display clock frequency scaling through clock dividers. You can customize colors, symbols, and design elements using an input file. Additionally, you can cross-probe the custom clock tree structure to other widgets and the main schematic view in Joules Studio. Moreover, with the clock tree preference features of the ideal clock tree wizard in Joules Studio GUI, you can highlight clock path, generate clocks and master clock, set case analysis, fold and unfold instances, undo and redo, set sense and disable timing, color preference, etc. You can binge on these features through the channel videos posted on the support portal, which covers the Joules RTL Design Studio GUI Clock Tree Structure and Features of Ideal Clock Tree Wizard. You can refer to the videos on Cadence Online Support (Cadence login required). Video Links: Viewing Custom Clock Tree Structure in Joules RTL Design Studio (Video) Exploring Clock Tree Preference Widget of Ideal Clock Tree Wizard in Joules RTL Design Studio (Video) Want to learn more? Explore the one-stop solution Joules RTL Design Studio Product Page on Cadence Online Support (Cadence login required). Related Resources Related Training Bytes: Understanding Prototype Design Flow in Joules RTL Design Studio (Video) Running Prototype Implementation Flow in Joules RTL Design Studio (Video) Understanding Analyze Timing By Hierarchy In Joules RTL Design Studio (Video) Related Courses: Joules Power Calculator Want to Enroll in this Course? We organize this training for you as a "Blended" or "Live" training. Please reach out to Cadence Training for further information. Please don't forget to obtain your Digital Badge after completing the training. Related Blogs: Let's Discover the Secret to Enhance Design's PPAC in a Single Cockpit! - Digital Design - Cadence Blogs - Cadence Community Joules RTL Design Studio: Accelerating Fully Optimized RTL - Digital Design - Cadence Blogs - Cadence Community Let's Replay the Process of Power Estimation with the Power of 'x'! - Digital Design - Cadence Blogs - Cadence Community Is Design Power Estimation Lowering Your Power? Delegate and Relax! - Digital Design - Cadence Blogs - Cadence Community Full Article performance debug training congestion PPAC training bytes clock tree synthesis area RTL design power
ic American Cities of the Future 2019/20 - the winners By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Mon, 10 Jun 2019 08:24:30 +0100 New York continues to reign as leader of fDi’s American Cities of the Future 2019/20 ranking. San Francisco retains second place with Toronto rising to third. Naomi Davies reports. Full Article
ic American Cities of the Future 2019/20 – FDI strategy By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Mon, 10 Jun 2019 08:25:52 +0100 A more detailed look at fDi's judges’ top five American Cities of the Future 2019/20 for FDI strategy. Naomi Davies reports. Full Article
ic Asia-Pacific Cities of the Future 2019/20 – the winners By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Thu, 15 Aug 2019 12:00:47 +0100 Singapore has retained its place at the top of fDi's Asia-Pacific Cities of the Future ranking, with Shanghai and Tokyo completing the top three list. Full Article
ic EBRD president looks to African expansion By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Thu, 12 Dec 2019 12:01:14 +0000 The EU is considering a broader mandate for the EBRD, and its president, Sir Suma Chakrabarti, believes its model would work in sub-Saharan Africa. Full Article
ic View from the Middle East & Africa: small steps can have a big impact on tourism By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Thu, 12 Dec 2019 12:01:06 +0000 Poor infrastructure and political instability deter tourism, but small and manageable steps to avoid chaos and promote hospitality can work wonders. Full Article
ic Will mobile phone penetration maintain African momentum? By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Tue, 25 Feb 2020 13:20:18 +0000 Sub-Saharan Africa is the world’s fastest growing mobile phone market, but how can telecoms companies make the most of the huge opportunities the region provides? Full Article
ic Egypt planning minister strives for sustainable economic growth By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Mon, 20 Jan 2020 09:50:08 +0000 Egypt is well on the way to establishing a diversified economy, claims Hala El Saeed, minister of planning and economic development Full Article
ic View from Middle East and Africa: SDGs need rich to support the poor By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Tue, 25 Feb 2020 13:24:27 +0000 The UN Sustainable Development Goals aim to end global poverty, but poorer countries are struggling to hit them. More help from richer countries is crucial, writes Mazdak Rafaty. Full Article
ic How the Suez Canal Economic Zone is aiding Egypt's economic resurgence By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Tue, 25 Feb 2020 13:13:41 +0000 Combining a strategic location with an investor-friendly environment, Egypt is ensuring its Suez Canal Economic Zone is primed for foreign investment. Full Article
ic UK strengthens ties to Africa By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Thu, 20 Feb 2020 11:25:25 +0000 London event hears how the UK export credit agency is increasing its focus on trade with African countries. Jason Mitchell reports. Full Article
ic Mara's Phones makes African manufacturing a priority By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Thu, 12 Dec 2019 12:01:24 +0000 Having opened new production facilities in Rwanda and South Africa, Mara Phones is looking to alter Africa's mindset from being a 'consumer' to being a 'manufacturer'. Full Article
ic Reforms could unlock African development, reports McKinsey By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Mon, 11 Nov 2019 10:34:15 +0000 Continued African development could hinge on public finance reforms. Full Article
ic Emerging markets predicted to spearhead GDP growth over next decade By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Tue, 14 Jan 2020 11:24:32 +0000 Lower fertility rates will boost economic growth, according to a demographic model developed by Renaissance Capital. Full Article
ic Verisk Maplecroft report predicts civil unrest to continue in 2020 By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Thu, 16 Jan 2020 12:49:05 +0000 Escalation in protests across the globe in 2019 are forecast to persist into the new decade, according to Verisk Maplecroft report. Full Article
ic BASF kicks off China megaproject By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Mon, 16 Dec 2019 16:02:53 +0000 German chemical giant BASF has begun construction of its $10bn mega project in southern China, which will be the country’s first wholly foreign-owned chemical complex. Full Article
ic Zonamerica looks beyond Latin America for expansion opportunities By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Mon, 16 Dec 2019 10:05:06 +0000 Uruguay-based Zonamerica has successfully expanded into Colombia and China, and is now looking to export its model to other parts of Asia and Africa. Full Article
ic View from the Americas: the evolving political economy of FDI By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Thu, 12 Dec 2019 12:00:59 +0000 We are currently in a state of heightened business and economic disruption and sociopolitical activism, which only looks set to intensify. Full Article
ic Mexico teams up with Singapore to launch Tehuantepec trade corridor By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Thu, 12 Dec 2019 12:00:58 +0000 President Obrador aims to mobilise billions in public and private investment to create an alternative to the Panama Canal along the Tehuantepec corridor. Full Article
ic Jamaican tourism minister seeks to explode myths By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Thu, 12 Dec 2019 16:15:01 +0000 Edmund Bartlett, Jamaica’s minister of tourism, talks about key investment opportunities and the need for better international reporting when natural disasters strike. Full Article
ic Microsoft makes a crossborder connection in North America By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Tue, 25 Feb 2020 13:24:36 +0000 While governments grow more protectionist over trade and physical borders, companies such as Microsoft are bridging the gap by funding international collaborative enterprises. Full Article
ic View from the Americas: time for action on SDGs By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Tue, 25 Feb 2020 13:24:16 +0000 Giant investment firm BlackRock throwing its weight behind sustainability issues is sending a signal to the corporate world to respond urgently to global calls for action, writes Gregg Wassmansdorf. Full Article
ic Latin America embraces China's Belt and Road with enthusiasm By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Tue, 25 Feb 2020 13:20:49 +0000 Up to 18 countries across Latin America have joined China’s new Belt and Road Initiative, hoping to boost their infrastructure development and investment. Full Article
ic Which FDI sectors could benefit from the coronavirus crisis? By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Wed, 18 Mar 2020 15:07:32 +0000 Wavteq's Henry Loewendahl discusses which sectors retain potential for foreign investment amid the current global crisis Full Article
ic Global pharmaceutical FDI on an upward trend By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Tue, 31 Mar 2020 17:24:03 +0100 The global pharmaceutical sector has seen consistent growth since 2014, with western Europe a major beneficiary. Full Article
ic UK firm targets booming medicinal cannabis market By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Thu, 16 Apr 2020 13:04:02 +0100 Eco Equity is one of only a few Europe-based investors in medicinal cannabis from Africa and the Caribbean, an area in which the UK is missing an opportunity, according to CEO Jon-Paul Doran. Full Article
ic View from Middle East and Africa: UAE moves fast to combat Covid-19 By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Thu, 16 Apr 2020 13:04:01 +0100 The UAE followed Singapore’s swift reaction to combat Covid-19, to preserve the health of its citizens. Now moves are in place to tackle the country’s economic wellbeing. Full Article
ic View from the Americas: new perspectives in a time of pandemic By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Thu, 16 Apr 2020 13:03:51 +0100 The coronavirus pandemic could change human behaviour more permanently in future. Full Article
ic Latin America prepares for sharp drop in FDI amid coronavirus pandemic By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Wed, 08 Apr 2020 13:03:41 +0100 The fallout from the pandemic looks set to stall trade and investment to Latin America. Full Article
ic 2025 Ford F-150 receives some price cuts, starts at $40,705 By www.thecarconnection.com Published On :: Thu, 07 Nov 2024 10:59:00 -0500 Ford's bestselling F-150 full-size pickup truck receives minor changes for the 2025 model year, including some removal of standard equipment on certain trim levels in exchange for lower starting prices. Ford also rolls out BlueCruise 1.4 and expands the availability of the latest iteration of its limited hands-free driving system. The base F-150... Full Article
ic Ford Maverick vs. Ford Ranger: Compare Pickup Trucks By www.thecarconnection.com Published On :: Mon, 11 Nov 2024 12:00:00 -0500 Want a pickup truck but don’t want the hangups of a full-size truck? Ford has you covered with two compelling pickup options. The Ford Ranger midsize pickup truck has a crew cab and 5-foot bed like the F-150, and it also has a Raptor off-road model. With a 7,500-pound towing capacity, it can’t tow or haul as much as an F-150, but... Full Article
ic 2026 Cadillac Vistiq electric 3-row SUV revealed with $78,790 price tag By www.motorauthority.com Published On :: Tue, 12 Nov 2024 08:00:00 -0500 Cadillac Vistiq revealed as brand's “globally sized” electric three-row SUV Vistiq comes standard with 615 hp and 102 kwh Pricing starts at $78,790, including destination Cadillac's expansion of its electric vehicle lineup continues with the arrival of the 2026 Vistiq, a midsize SUV with third-row seats designed to fill the gap between... Full Article
ic 2025 Porsche Taycan 4, Taycan GTS join rest of updated electric sedan family By www.motorauthority.com Published On :: Tue, 12 Nov 2024 08:00:00 -0500 The updated 2025 Porsche Taycan family boasts 13 members A new Taycan 4 and revised Taycan GTS have been revealed The Taycan GTS benefits from a 100-hp boost over the outgoing model Porsche in February unveiled a mid-cycle refresh for its Taycan, which has been introduced for the 2025 model year in the U.S. However, not every model in the Taycan... Full Article
ic Mazda CX-90 and CX-70 recalled for power loss, electrical issues By www.thecarconnection.com Published On :: Tue, 12 Nov 2024 09:50:00 -0500 Mazda issued two more recalls for the CX-90, and the CX-70 joins recall list One issue stems from an inverter software issue while the other has do to with faulty software in the dashboard New software is the fix for both issues Mazda is recalling CX-90 and CX-70 crossover SUVs for two separate software-related issues. One could cause loss of... Full Article
ic Madeira vice-president eyes fiscal independence from Lisbon By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Thu, 15 Aug 2019 12:00:53 +0100 Pedro Calado, vice-president of Madeira’s regional government, tells Sebastian Shehadi about the island's capacity for more upmarket tourism and its ongoing struggle to gain financial independence from Portugal. Full Article
ic Antwerp's vice-mayor mixes history and innovation By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Wed, 16 Oct 2019 13:00:13 +0100 Antwerp’s vice-mayor, Claude Marinower, talks about the city's history as a diverse business location, and its plans to promote traditional industries alongside innovative concepts. Full Article
ic Production and preservation the key on Canada's Atlantic coast By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Thu, 12 Dec 2019 11:24:54 +0000 Canada's Atlantic Ocean-bordering provinces are making the most of their coastal resources, using decades of knowhow to balance economic growth with sustainability. Full Article
ic Manufacturing FDI in Mexico stumbles again in 2018 By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Wed, 24 Apr 2019 16:22:00 +0100 Mexico suffered a second year of dwindling manufacturing, with the US's trade policy taking its toll. However, Mexico remains an attractive location for US companies and their suppliers. Full Article
ic UK top destination for financial services FDI in Europe By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Wed, 18 Sep 2019 15:15:49 +0100 Over the past three years, the UK has led Europe for financial services FDI, with the US as top investor. Emma McCoy reports. Full Article
ic Dubai top for Middle East office openings By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Wed, 30 Oct 2019 13:09:36 +0000 Dubai outstrips other Middle East cities as top destination for office openings. Full Article