ex Gauteng Municipalities Owe Rand Water R7.3bn, Excluding Three Metros By allafrica.com Published On :: Tue, 12 Nov 2024 06:01:29 GMT [Daily Maverick] Water and Sanitation Minister Pemmy Majodina held an urgent meeting on Sunday with Gauteng Premier Panyaza Lesufi and Johannesburg Mayor Dada Morero to address severe water shortages affecting Johannesburg communities. Full Article Economy Business and Finance Governance South Africa Southern Africa
ex How Cadence Is Expanding Innovation for 3D-IC Design By community.cadence.com Published On :: Wed, 12 Jun 2024 06:39:00 GMT The market is trending towards integrating and stacking multiple chiplets into a single package to meet the growing demands of speed, connectivity, and intelligence. However, designing and signing off chiplets and packages individually is time-...(read more) Full Article
ex Advancing Die-to-Die Connectivity: The Next-Generation UCIe IP Subsystem By community.cadence.com Published On :: Tue, 08 Oct 2024 06:34:00 GMT Cadence tapes out 32G UCIe interface IP for high speed, highly efficient chiplet designs and demonstrate high data rate performance in TSMC's 3nm technology(read more) Full Article ucie IP die-to-die
ex adexl remove test By community.cadence.com Published On :: Fri, 25 Oct 2024 07:38:19 GMT Hi,all I want to remove some Tests form adexl automatically,there have any function to achieve that? Full Article
ex SKILL regex pattern matching By community.cadence.com Published On :: Fri, 01 Nov 2024 08:30:50 GMT Hi, I have a string "[@global_vddi:%:vddi!]" which I need to process to remove "@[]" chars. The desired result is "global_vddi:%:vddi!". I tried the following in CIW netExpr = "[@global_vddi:%:vddi!]"rexCompile("\([a-zA-Z0-9_:!%]+\)")trexExecute(netExpr)trexSubstitute( "\0" )"global_vddi:%:vddi!" and I achieved the desired value. I added the same code to my script but it didn't work. In my script rexExecute returns 't' but rexSubstitute returns 'nil' Here is the snippet from my script netExpr = dbGetTermNetExpr(term) if(netExpr then rexCompile("\([a-zA-Z0-9_:!%]+\)") rexExecute(netExpr) netExpr1 = rexSubstitute( "\0" ) ... . ..) and trace log showing the variable values as the code executes stopped before evaluating dbGetTermNetExpr(term)after evaluating dbGetTermNetExpr(term)==> "[@global_vddi:%:vddi!]"after evaluating (netExpr = dbGetTermNetExpr(term))==> "[@global_vddi:%:vddi!]"stopped before evaluating if(netExpr then rexCompile("\([a-zA-Z0-9_:!%]+\)") rexExecute(netExpr) (netExpr1 = rexSubstitute("\0")) ... )stopped before evaluating rexCompile("\([a-zA-Z0-9_:!%]+\)")after evaluating rexCompile("\([a-zA-Z0-9_:!%]+\)")==> tstopped before evaluating rexExecute(netExpr)after evaluating rexExecute(netExpr)==> tstopped before evaluating (netExpr1 = rexSubstitute("\0"))stopped before evaluating rexSubstitute("\0")after evaluating rexSubstitute("\0")==> nil|[2]netExpr1 set to nil, was nil Any help or suggestions as to why the code executes differently in CIW and when called from a SKILL script file will be much appreciated. I also tried a different approach using rexReplace instead of rexSubstitute but couldn't get the regex pattern correct. The code I tried in CIW using rexReplace is as follows a = "[@global_vddi:%:vddi!]""[@global_vddi:%:vddi!]"rexCompile("\([@\[\]]*\)")trexReplace(a "" 0)"global_vddi:%:vddi!]" Only '@[' get replaced and ']' is still present. The regex pattern contains '\]' to match the closing square bracket yet it is not replaced. Please let me know what I'm missing in these 2 scenarios. Any help is much appreciated!! Regards, Confused SKILL user Full Article
ex read from text file with two values and represent that as voltage signals on two different port a and b By community.cadence.com Published On :: Fri, 24 Feb 2023 00:33:01 GMT i want to read from text file two values on two ports , i wrote that code, and i have that error that shown in the image below . and also the data in text file is shown as screenshot module read_file (a,b); electrical a,b;integer in_file_0,data_value, valid, count0,int_value; analog begin @(initial_step) begin in_file_0 = $fopen("/home/hh1667/ee610/my_library/read_file/data2.txt","r"); valid = $fscanf (in_file_0, "%b,%b" ,int_value,count0); end V(a) <+ int_value; V(b) <+ count0; end endmodule Full Article
ex Stream in gds to virtuoso from directory other than where cds.lib exists By community.cadence.com Published On :: Fri, 31 Mar 2023 16:35:39 GMT I am scripting gds streamin using 'strmin', which works fine so far. But, as it apparently doesn't have an option to specify where the cds.lib file is, I have to run it from the directory where the cds.lib file is, or I guess I could create a dummy one to source that one. Is there a way to tell strmin where the cds.lib file is? Full Article
ex ask some functions that we don't know if it exists By community.cadence.com Published On :: Wed, 25 Sep 2024 15:41:09 GMT We have a big circuit having 12K gates totally and trying to show it in one page slide visually. But it is so hard for us to shrink it down from gate-level to module-level. Do you have any function like these: Toggle wires on and off “Right click” elements and group them into black boxes Quickly left or right align elements to clean up pictures Full Article
ex 5X “Time Warp” in Your Next Verification Cycle Using Xcelium Machine Learning By community.cadence.com Published On :: Wed, 22 Jun 2022 05:19:00 GMT Artificial intelligence (AI) is everywhere. Machine learning (ML) and its associated inference abilities promise to revolutionize everything from driving your car to making your breakfast. Verification is never truly complete; it is over when you run...(read more) Full Article xcelium ml machine learning xcelium simulation
ex Modern Thermal Analysis Overcomes Complex Design Issues By community.cadence.com Published On :: Wed, 16 Oct 2024 04:20:00 GMT Melika Roshandell, Cadence product marketing director for the Celsius Thermal Solver, recently published an article in Designing Electronics discussing how the use of modern thermal analysis techniques can help engineers meet the challenges of today’s complex electronic designs, which require ever more functionality and performance to meet consumer demand. Today’s modern electronic designs require ever more functionality and performance to meet consumer demand. These requirements make scaling traditional, flat, 2D-ICs very challenging. With the recent introduction of 3D-ICs into the electronic design industry, IC vendors need to optimize the performance and cost of their devices while also taking advantage of the ability to combine heterogeneous technologies and nodes into a single package. While this greatly advances IC technology, 3D-IC design brings about its own unique challenges and complexities, a major one of which is thermal management. To overcome thermal management issues, a thermal solution that can handle the complexity of the entire design efficiently and without any simplification is necessary. However, because of the nature of 3D-ICs, the typical point tool approach that dissects the design space into subsections cannot adequately address this need. This approach also creates a longer turnaround time, which can impact critical decision-making to optimize design performance. A more effective solution is to utilize a solver that not only can import the entire package, PCB, and chiplets but also offers high performance to run the entire analysis in a timely manner. Celsius Thermal Management Solutions Cadence offers the Celsius Thermal Solver, a unique technology integrated with both IC and package design tools such as the Cadence Innovus Implementation System, Allegro PCB Designer, and Voltus IC Power Integrity Solution. The Celsius Thermal Solver is the first complete electrothermal co-simulation solution for the full hierarchy of electronic systems from ICs to physical enclosures. Based on a production-proven, massively parallel architecture, the Celsius Thermal Solver also provides end-to-end capabilities for both in-design and signoff methodologies and delivers up to 10X faster performance than legacy solutions without sacrificing accuracy. By combining finite element analysis (FEA) for solid structures with computational fluid dynamics (CFD) for fluids (both liquid and gas, as well as airflow), designers can perform complete system analysis in a single tool. For PCB and IC packaging, engineering teams can combine electrical and thermal analysis and simulate the flow of both current and heat for a more accurate system-level thermal simulation than can be achieved using legacy tools. In addition, both static (steady-state) and dynamic (transient) electrical-thermal co-simulations can be performed based on the actual flow of electrical power in advanced 3D structures, providing visibility into real-world system behavior. Designers are already co-simulating the Celsius Thermal Solver with Celsius EC Solver (formerly Future Facilities’ 6SigmaET electronics thermal simulation software), which provides state-of-the-art intelligence, automation, and accuracy. The combined workflow that ties Celsius FEA thermal analysis with Celsius EC Solver CFD results in even higher-accuracy models of electronics equipment, allowing engineers to test their designs through thermal simulations and mitigate thermal design risks. Conclusion As systems become more densely populated with heat-dissipating electronics, the operating temperatures of those devices impact reliability (device lifetime) and performance. Thermal analysis gives designers an understanding of device operating temperatures related to power dissipation, and that temperature information can be introduced into an electrothermal model to predict the impact on device performance. The robust capabilities in modern thermal management software enable new system analyses and design insights. This empowers electrical design teams to detect and mitigate thermal issues early in the design process—reducing electronic system development iterations and costs and shortening time to market. To learn more about Cadence thermal analysis products, visit the Celsius Thermal Solver product page and download the Cadence Multiphysics Systems Analysis Product Portfolio. Full Article Celsius Thermal Solver thermal management 3D-IC Celsius EC Solver Thermal Analysis
ex How to reuse device files for existing components By community.cadence.com Published On :: Thu, 07 Dec 2023 11:09:26 GMT Have you ever encountered ERROR(SPMHNI-67) while importing logic? If yes, you might already know that you had to export libraries of the design and make sure that paths (devpath, padpath, and psmpath) include the location of exported files. Starting in SPB23.1, if you go to File > Import > Logic/Netlist and click on the Other tab, you will see an option, Reuse device files for existing components. After selecting this option, ERROR(SPMHNI-67) will no longer be there in the log file, because the tool will automatically extract device files and seamlessly use them for newly imported data. In other words, SPB_23.1 lets you reuse the device / component definitions already in the design without first having to dump libraries manually. An excellent improvement, don’t you think? Full Article
ex How to export and import symbols and component properties through Die Text wizards By community.cadence.com Published On :: Thu, 04 Jan 2024 15:50:39 GMT Starting SPB 23.1, Allegro X APD lets you import/export the symbol and component properties by using Die Text-In/Out wizards. Exporting the symbol You can export the symbol by using File > Export > Die Text-Out Wizard. In the Die Text-Out Wizard window, you can see the newly added options, that is, Component Properties and Symbol Properties. This entire information including the properties will be saved in a text file. Importing the symbol You can import the same text file in Allegro X APD by using Die Text-In Wizard. Choose the text file you want to import. Symbol properties added in the text file will be visible in the Die Text-In Wizard window. Full Article
ex modify bump and export the modified bump By community.cadence.com Published On :: Fri, 23 Feb 2024 13:23:01 GMT hello, help me! There are many change in the bump design. I want to design bump by APD. The bump(die) is a stagger , create it by die generator. Because,the pin is not isometric. In order to RDL routing, so the bump is not isometric. I move the symbol pin in APD symbol edit(as show in the picture), and selected symbol RBM write device file, write library symbol. Export the bga text( bga text out) ,But the bump is not modified, the bump is still stagger. Can you help me! pitch2> pitch1 thanks Full Article
ex How to execute APD+ embedded function in my form? By community.cadence.com Published On :: Thu, 18 Jul 2024 01:34:57 GMT Hello, SKILL experts. I'm studying SKILL language to build some useful function in APD+. Now, I want to execute 'Import Sub-drawing' function in new form. But I cannot find how to do execute APD+ embedded function in a field of new form. Has anyone experienced this or idea to solve this problem? Full Article
ex Matlab cannot open Pspice, to prompt orCEFSimpleUI.exe that it has stopped working! By community.cadence.com Published On :: Thu, 09 Apr 2020 12:08:58 GMT Cadence_SPB_17.4-2019 + Matlab R2019a 请参考本文档中的步骤进行操作 1,打开BJT_AMP.opj 2,设置Matlab路径 3,打开BJT_AMP_SLPS.slx 4,打开后,设置PSpiceBlock,出现或CEFSimpleUI.exe停止工作 5,添加模块 6,相同 7,打开pspsim.slx 8,相同 9,打开C: Cadence Cadence_SPB_17.4-2019 tools bin orCEFSimpleUI.exe和orCEFSimple.exe 10,相同 我想问一下如何解决,非常感谢! Full Article
ex Text variables By community.cadence.com Published On :: Tue, 14 Dec 2021 11:07:34 GMT Hello, I was wondering how can I create variable fields in the layout. To start, I have a template for some type of designs, and I would like that one of the texts on the silkscreen changes accordingly to an external variable, like the folder name, or a text file in the same folder. I was thinking something similar to a page frame that changes the date automatically. How can I generate that type of fields? Full Article
ex CIS Standard BOM to Excel 365 By community.cadence.com Published On :: Tue, 14 Dec 2021 14:49:39 GMT I'm not able to export a CIS Standard BOM to a Microsoft 365 Excel (business subscription, version 2111).Selecting the "Export BOM report to Excel" option opens a new Excel window, but OrCAD (17.4-2019 S023) won't fill it with any data... I tried it on a different PC with Microsoft Office Professional Plus 2019 Excel (strangely the version number is the same: 2111) and with OrCAD 17.4-2019 S016 and it worked flawlessly. Does anybody experiencing the same issue?Does the Excel variant, the OrCAD version or the PC itself causing this?Thanks for any help! Full Article
ex Noise summary data per sub-block in Maestro output expressions By community.cadence.com Published On :: Tue, 22 Oct 2024 21:56:24 GMT Hi, I have a question about printing noise summary via maestro output expressions. How can I print noise data using output expressions, for multiple levels of the hierarchy? I have found this article which describe the procedure using ocnGenNoiseSummary() function: https://support.cadence.com/apex/ArticleAttachmentPortal?id=a1Od0000007MViHEAW&pageName=ArticleContent I see also Andrew Beckett referring to the above mentioned article as a solution to a similar question: community.cadence.com/.../noise-summary-per-instance However, this seems to work only if I'm to extract noise data from a single level of hierarchy. If I have the output expression "ocnGenNoiseSummary(2 ?result 'hbnoise)", it will generate a "noisesummary" directory under results directory for a hierarchy level of 2. If I am to extract data from various hierarchy levels, I should be able to generate multiple noise summary directories, such as noisesummary1, noisesummary2 where they correspond to "ocnGenNoiseSummary(1 ?result 'hbnoise)" & "ocnGenNoiseSummary(2 ?result 'hbnoise)", respectively. However this does not seem to be possible. Can you please advice? Thanks. My Cadence version: IC23.1-64b.ISR7.27 BR, Denizhan Karaca Full Article
ex How can I place stacked vias with the size exact same cut width without metals around? By community.cadence.com Published On :: Wed, 30 Oct 2024 12:40:16 GMT How can I place stacked vias with the size exact same cut width without metals around?As the red part only in the image below? Full Article
ex Change code in veriloga view from external program By community.cadence.com Published On :: Wed, 30 Oct 2024 15:31:02 GMT For reasons too complicated to go into here, I need to generate the code for a veriloga view from a outside the normal Verilog-A editor. I would start with an "empty" veriloga view generated from the symbol in the normal way so I get the port order correct, then use external code to provide "guts" of the veriloga view by overwriting the generated code. My understanding is that and code changes made external to the normal flow do not get picked up by Cadence - the Verilog-A code gets read at design time, not at netlist time. Would simply forcing a check and save of the veriloga view after the code is modified fix that problem? Or is there an easier way to incorporate externally generated Verilog-A code? Full Article
ex explain/correct my understanding between average/covered in imc metrics By community.cadence.com Published On :: Wed, 17 Apr 2024 05:36:41 GMT I'm working on the code coverage. Doing a metrics analysis by default we see overall average grade and overall covered. But when i do a block analysis on an instance i see overall covered grade, code covered grade, block covered grade, statement covered grade, expression covered grade, toggle covered grade. As I dont know the difference I started to read the IMC user guide and came to know there are 3 things we come across while doing a code coverage local, covered, average From my understanding local - child instances metrics doesnt reach the parent level. For example, we have an instance Q and its sub instances like Q.a, Q.b. Block Local grade of Q can be 100% even when its instances Q.a and Q.b a block local grades isnt at 100%. In the attached image there is formula The key difference between average and covered is the weights. Average : Mathematically taking the above scenario where Q.a, and Q.b has 10 blocks each. Q.a has covered 8 blocks and q.b has covered 2 blocks. Now if we take the normal average it should be total covered/ totatl number = 8+2/10+10 yielding 50%. But when we add weights saying Q.a is 70% and Q.b is 30% the new number would be (8*0.7+2*0.3) / (10*0.7+10*0.3) resulting 62%. Because of the weights we see 12% bump. Covered: there is no role of weights. Among these 3 metrics i've changed my default view to this in the image to get more realistic picture when i do analyze metrics. Do you guys agree with the approach? Full Article
ex xcelium - CSI: *F,INTERR: INTERNAL EXCEPTION By community.cadence.com Published On :: Sun, 19 May 2024 10:06:24 GMT I just completed the setup of xcelium and I am trying to test a very simple vhdl file - I got " CSI: *F,INTERR: INTERNAL EXCEPTION" without any further explanation. Could someone point me to how to investigate this error further? csi-xmelab - CSI: Command line: xmelab -f /home/cadadmin/test/xcelium.d/run.lnx86.23.03.d/xmelab.args -ACCESS +r -no_analogsolver -MESSAGES andgate -XLMODE ./xcelium.d/run.lnx86.23.03.d -RUNMODE -CDSLIB ./xcelium.d/run.lnx86.23.03.d/cds.lib -HDLVAR ./xcelium.d/run.lnx86.23.03.d/hdl.var -WORK worklib -IRUNHASTOP -CHECK_VERSION TOOL: xrun 23.03-s001 -LOG_FD 4 csi-xmelab - CSI: *F,INTERR: INTERNAL EXCEPTION-----------------------------------------------------------------The tool has encountered an unexpected condition and must exit.Contact Cadence Design Systems customer support about thisproblem and provide enough information to help us reproduce it,including the logfile that contains this error message. TOOL: xmelab 23.03-s001OPERATING SYSTEM: Linux 4.18.0-513.9.1.el8_9.x86_64 #1 Elaboration of package STD.STANDARD----------------------------------------------------------------- csi-xmelab - CSI: Cadence Support Investigation, recording detailscsi-xmelab - CSI: investigation complete took 0.000 secs, send this file to Cadence Support Full Article
ex Is it possible to automatically exclude registers or wires that are not used from toggle coverage? By community.cadence.com Published On :: Wed, 03 Jul 2024 12:04:29 GMT Hello, I have a question about toggle coverage. In my case, there are many unused registers or wires that are affecting the toggle coverage score negatively. Is it possible to automatically exclude registers or wires that are not used from toggle coverage? My RTL code is as follows, Is it possible to automatically disable tb.top1.b and tb.top1.c without using an exclude file? module top1; reg a; reg b; reg [31:0] c; initial begin #1 a=1'b0; #1 a=1'b1; #1 a=1'b0; end endmodule module tb; top1 top1(); endmodule Full Article
ex e-code: Macro example code for Team Specman blog post By community.cadence.com Published On :: Mon, 27 Apr 2009 07:11:19 GMT Hi everybody, The attached package is a tiny code example with a demo for an upcoming Team Specman blog post about writing macros. Hilmar Full Article
ex Modern Thermal Analysis Overcomes Complex Electronic Design Issues By community.cadence.com Published On :: Tue, 13 Sep 2022 14:53:00 GMT By combining finite element analysis with computational fluid dynamics, designers can perform complete thermal system analysis using a single tool.(read more) Full Article in-design analysis Thermal Analysis electronic cooling
ex VAR("") does not work within some expressions By community.cadence.com Published On :: Mon, 22 Apr 2024 20:47:33 GMT Hi, My Virtuoso and Spectre Version: ICADVM20.1-64b.NYISR30.2 I have an expression where the EvalType is "sweeps". Here is the expression (I also attached the snapshot): (peakToPeak(leafValue(swapSweep(delay(?wf1 clip((VT("/clk0") - VT("/clk180")) (VAR("mt_stop") - (4.0 / VAR("datarate"))) VAR("mt_stop")) ?value1 0 ?edge1 "rising" ?nth1 1 ?td1 0 ?tol1 nil ?wf2 clip((VT("/tx_padp") - VT("/tx_padn")) (VAR("mt_stop") - (4.0 / VAR("datarate"))) VAR("mt_stop")) ?value2 0 ?edge2 "rising" ?nth2 1 ?tol2 nil ?td2 nil ?stop nil ?multiple nil) "VDD_FIXED_NOISE") "VREGLN_cmode" 0.85 "VREGDRV_novn" 0.4 "datarate" 1.658e+10) ?overall t) / 10.0) What this expression does is that it compares the delay between the output data with respect to a reference clock. I then get this information for two conditions (VDD_FIXED_NOISE = 0 or 10mV) to get the effect of the supply-induced jitter. In the expression, I need to give the value of each parameter in different modes to distinguish them from each other. Now I want to sweep the base supply values and see the supply variation effects. For example, I want to change VREGLN_cmode from 0.85 to 0.81 and see how my supply-induced jitter changes. For that, the hard way is to copy the expression and change that value accordingly (e.g. "VREGLN_cmode" 0.81). I'm looking for an easier way to use a variable in the expression. Something like VAR("VREGLN_Sweep"). But I see it doesn't work in my expression and it gives an eVal error. I tested this before in other expressions (not sweep type) and it always worked. I have only one test and these variables are all Design Variables and not Global variables.I want to know what mistake am I doing here and is there a way to make this work. Sorry that if I could not explain better my inquiry. Thank you. Full Article
ex Extrowords #97: Generalissimo 68 By indiauncut.com Published On :: 2007-08-16T00:11:00+00:00 Sample clues 18 across: Makoto Hagiwara and David Jung both claim to have invented it (7,6) 1 down: French impressionist who rejected that term (5) 3 down: Artificial surface used for playing hockey (9) 7 down: The sequel to Iliad (7) 12 down: Adipose tissue (4,3) Extrowords © 2007 IndiaUncut.com. All rights reserved. India Uncut * The IU Blog * Rave Out * Extrowords * Workoutable * Linkastic Full Article
ex Extrowords #98: Generalissimo 69 By indiauncut.com Published On :: 2007-11-11T20:24:00+00:00 Sample clues 6 across: Franchise revived by Frank Miller (6) 13 across: What Keanu Reeves and Zayed Khan have in common (5) 18 across: What Frank Sinatra and George Clooney have in common (6,6) 19 across: Dosa mix, for example (6) 2 down: Green, in a non-environmental way (7) Extrowords © 2007 IndiaUncut.com. All rights reserved. India Uncut * The IU Blog * Rave Out * Extrowords * Workoutable * Linkastic Full Article
ex Extrowords #99: Generalissimo 70 By indiauncut.com Published On :: 2007-11-13T12:27:00+00:00 Sample clues 5 down: Torso covering (6) 7 down: Government by rogues (12) 15 across: eBay speciality (7) 18 across: Demonic (8) 20 across: Common language (6,6) Extrowords © 2007 IndiaUncut.com. All rights reserved. India Uncut * The IU Blog * Rave Out * Extrowords * Workoutable * Linkastic Full Article
ex Extrowords #100: Generalissimo 71 By indiauncut.com Published On :: 2007-11-16T04:54:00+00:00 Sample clues 17 across: Beckham speciality (4,4) 4 down: Havana speciality (5) 19 across: Infamous 1988 commercial against Michael Dukakis (9,4) 11 down: Precisely (2,3,3) 13 down: City infamously ransacked by the Japanese in 1937 (7) Extrowords © 2007 IndiaUncut.com. All rights reserved. India Uncut * The IU Blog * Rave Out * Extrowords * Workoutable * Linkastic Full Article
ex Extrowords #101: Generalissimo 72 By indiauncut.com Published On :: 2007-11-22T07:37:01+00:00 Sample clues 11 across: Chandigarh’s is 0172 (3,4) 21 across: He’s a loser, baby (4) 1 down: Garment meant to shape the torso (6) 12 down: It’s slogan: “Life, Liberty and the Pursuit” (8) 18 down: Noise made by badminton players? (6) Extrowords © 2007 IndiaUncut.com. All rights reserved. India Uncut * The IU Blog * Rave Out * Extrowords * Workoutable * Linkastic Full Article
ex Extrowords #102: Generalissimo 73 By indiauncut.com Published On :: 2007-12-10T18:27:00+00:00 Sample clues 5 across: The US president’s bird (3,5,3) 11 down: Group once known as the Quarrymen (7) 10 across: Cavalry sword (5) 19 across: Masonic ritual (5,6) 1 down: Pioneer of Ostpolitik (6) Extrowords © 2007 IndiaUncut.com. All rights reserved. India Uncut * The IU Blog * Rave Out * Extrowords * Workoutable * Linkastic Full Article
ex Extrowords #103: Generalissimo 74 By indiauncut.com Published On :: 2007-12-11T15:27:00+00:00 Sample clues 14 across: FDR’s baby (3,4) 1 down: A glitch in the Matrix? (4,2) 4 down: Slanted character (6) 5 down: New Year’s venue in New York (5,6) 16 down: Atmosphere of melancholy (5) Extrowords © 2007 IndiaUncut.com. All rights reserved. India Uncut * The IU Blog * Rave Out * Extrowords * Workoutable * Linkastic Full Article
ex Extrowords #104: Generalissimo 74 By indiauncut.com Published On :: 2007-12-13T18:18:00+00:00 Sample clues 6 across: Alejandro González Iñárritu’s breakthrough film (6,6) 19 across: Soft leather shoe (8) 7 down: Randroids, for example (12) 12 down: First American World Chess Champion (7) 17 down: Circle of influence (5) Extrowords © 2007 IndiaUncut.com. All rights reserved. India Uncut * The IU Blog * Rave Out * Extrowords * Workoutable * Linkastic Full Article
ex Extrowords #105: Generalissimo 75 By indiauncut.com Published On :: 2007-12-17T06:25:00+00:00 Sample clues 5 across: Robbie Robertson song about Richard Manuel (6,5) 2 down: F5 on a keyboard (7) 10 across: Lionel Richie hit (5) 3 down: ALTAIR, for example (5) 16 down: The problem with Florida 2000 (5) Extrowords © 2007 IndiaUncut.com. All rights reserved. India Uncut * The IU Blog * Rave Out * Extrowords * Workoutable * Linkastic Full Article
ex Extrowords #106: Generalissimo 76 By indiauncut.com Published On :: 2007-12-21T18:15:00+00:00 Sample clues 9 across: Van Morrison classic from Moondance (7) 6 down: Order beginning with ‘A’ (12) 6 across: Fatal weakness (8,4) 19 across: Rolling Stones classic (12) 4 down: Massacre tool (8) Extrowords © 2007 IndiaUncut.com. All rights reserved. India Uncut * The IU Blog * Rave Out * Extrowords * Workoutable * Linkastic Full Article
ex Virtuoso Meets Maxwell: Getting Your Existing SiP File Into Virtuoso RF Solution By community.cadence.com Published On :: Tue, 21 Jun 2022 13:44:00 GMT I have been involved in the Virtuoso RF Solution for the last four years. Most of the customers I work with have a SiP package already in progress. They often ask "How do I get my SiP design into Virtuoso RF Solution?" I am excited about new functionality in the latest ICADVM20.1 ISR25 release. It is a new GUI under the Tools menu called Enablement. (read more) Full Article SiP Enablement GUI Virtuoso Meets Maxwell Virtuoso RF Solution Virtuoso RF Allegro Package Designer Plus Assisted Export System Design Environment RF design SiP Layout Option Custom IC Design Assisted Flows Assisted Import Allegro
ex Start Your Engines: AMS Flex – Our Next Generation Architecture Matures By community.cadence.com Published On :: Wed, 06 Jul 2022 05:05:00 GMT An AMS Designer Flex simulation gives you the most immediate access to the latest simulation technology on either side, gets out of the way of the core engines and allows the engine performance to shine while providing access to new features. Check out this blog to know more.(read more) Full Article AMS Designer AMSD Start Your Engines Mixed-Signal AMSD Flex Mode mixed-signal design Cadence Community AMS Flex
ex Virtuosity: Custom IC Design Flow/Methodology - Circuit Physical Verification & Parasitic Extraction By community.cadence.com Published On :: Fri, 29 Jul 2022 18:26:00 GMT Read this blog for an overview to the Circuit physical verification and parasitic extraction design stage in the Custom IC Design methodology and the key design steps which can help you achieve this.(read more) Full Article design rule violations Extraction Layout versus schematic Physical Verification System (PVS) Virtuoso Quantus Extraction Solution PVS Custom IC Design parasitics
ex AllegroX. ConstraintManager: how to define an exemption inside a SPACING RULE ? By community.cadence.com Published On :: Mon, 04 Nov 2024 13:02:18 GMT Hi I have fixed a SPACING RULE (SP1) for a CLASS_DIFF_PAIR whereas for via associated to the net (DP_VIA), the DISTANCE > 60mils respect to ANY other vias (PTH, BB, TEST vias) Now my problem is that this rules should NOT be applied for GND VIAS (STICHING VIA) which must be placed at a distance < 40mils respect to DP_VIA How to create an exemption to the SPACING RULE (SP1)? Full Article
ex exporting a modified symbol out By community.cadence.com Published On :: Thu, 07 Nov 2024 02:46:42 GMT hello: i place a symbol into my design. on my design, i change the symbol property by unlocking the symbol and unfixing pins so that i can move pins on the symbol. i move some pins on my design. but when i export the symbol from my design, the symbol is not current but has the original pin location. is there a way to retain the pin locations after moving pins on a symbol when exporting the symbol? regards masa Full Article
ex Allegro PCB Router quit unexpectedly with an exit code of -1073741701. Also, nothing is logged in log file. By community.cadence.com Published On :: Mon, 11 Nov 2024 14:30:58 GMT Has anyone experienced the same situation? Full Article
ex Socionext Accelerates SoC Design Breakthroughs with Cadence Signoff Tools By community.cadence.com Published On :: Thu, 27 Jun 2024 18:16:00 GMT Socionext, a leader in SoC design, recently made significant strides in enhancing its design efficiency for a complex billion-gate project. Faced with the initial challenges of lengthy eight-day iterations and a protracted two-month timing signoff process, the objective was to reduce the iteration cycle to just three days. By integrating Cadence's cutting-edge solutions—Certus Closure Solution, Tempus Timing Solution, and Quantus Extraction Solution—Socionext achieved remarkable improvements. Notably, the Tempus DSTA tool dramatically cut timing closure time by 73%, outperforming conventional single-machine STA methods. This achievement, combined with the synergistic use of Cadence's Certus Closure and Tempus Timing solutions, allowed Socionext to meet their ambitious three-day iteration target and double productivity. Additionally, integrating these solutions significantly decreased both human and machine resource needs, slashing memory and disk costs by up to 90% and halving engineering resources during the optimization and signoff phases. For more on this collaboration, check out the "Designed with Cadence" success story video on Cadence's website and YouTube channel. Also, don't miss the on-demand webinar "Fast, Accurate STA for Large-Scale Design Challenges," which provides a deeper dive into Socionext's breakthroughs and the innovative solutions that powered their success. Full Article digital design Tempus designed with cadence certus Quantus silicon signoff
ex Training Bytes: Explore Cadence DFT Synthesis Flow with Bytes By community.cadence.com Published On :: Wed, 24 Jul 2024 19:53:00 GMT Training Bytes are not just short technical videos; they are particularly designed to provide comprehensive support in understanding and learning various concepts and methodologies. These comprehensive yet small Training Bytes can be created to show various concepts and processes in a shorter pane of five to ten minutes, for example, running DFT synthesis, scanning insertion, inserting advanced testability features, test point insertion, debugging DFT violations, etc. In this blog, we will show you the DFT Synthesis Flow with Cadence's Genus Synthesis Solution using small Training Bytes available on the Cadence Learning and Support Portal. To explore these training bytes more, log on to support.cadence.com and select the learning section to choose the training videos, as shown below. DFT Synthesis Flow with Genus Synthesis Solution First, we will understand the Synthesis Flow with DFT in the Genus Synthesis Solution: Understanding a Script File that Used to Run the Synthesis Flow With DFT Here, we will show you "How to run the Test Synthesis Flow to Insert Scan Chains and Improve the Testability of a Design" in the Genus Synthesis Solution: Running Test Synthesis Flow to Insert Scan Chains And Improve the Testability of a Design in the Genus Synthesis Solution Let's check the flops marked with the dft_mapped attribute for scan mapping in Genus Synthesis Solution: How to Check Flops Marked With dft_mapped Attribute For Scan Mapping in Genus Synthesis Solution? How to Find Non-Scan Flops of a Design in Genus? (Video) Once the flops are mapped to scan flip flops and the scan chain inserted, we will see how to handle the flops marked with the dft_dont_scan attribute for scan mapping in Genus Synthesis Solution. How to Handle the Flops Marked With the dft_dont_scan Attribute For Scan Mapping in Genus Synthesis Solution? Here, we will see how to fix DFT Violations using the command fix_dft_violations: Fixing DFT Violations (Video) Once the design has been synthesized, let's explore the DFT design hierarchy in Genus Stylus CUI: Exploring DFT Design Hierarchy in Genus Stylus CUI (Video) Understand why sequential elements are not mapped to a scan flop: Why Are Sequential Elements Not Mapped to a Scan Flop? Explore hierarchical scan synthesis in Genus Stylus Common UI: Understanding Hierarchical Scan Synthesis in Genus Stylus Common UI. (Video) To understand how to resolve different warnings and errors (for example, DFT-415, DFT-512, DFT-304, etc.) in Genus Synthesis Solution, here are some videos you can refer to: How to Resolve Warning: DFT-415 (Video) How to Resolve Error: DFT-407 (Video) How to Resolve Error: DFT-404 (Video) DFT-510 Warning During Mapping (Video) How to Resolve Warning: DFT-512 (Video) How to Resolve Warning: DFT-511 (Video) How to Resolve Warning: DFT-304 (Video) How to Resolve Warning: DFT-302 (Video) How to Resolve Error: DFT-515 (Video) How to Resolve Error: DFT-500 (Video) Here, we will see how we can generate SDC constraints for DFT constructs for many scan insertion techniques, such as FULLSCAN, OPCG, Boundary Scan, PMBIST, XOR Compression, SmartScan Compression, LBIST, and IEEE 1500: How to Generate SDC Constraints for DFT Constructs in Genus Synthesis Solution? (Video) Explore advanced testability features that can be inserted in Genus Synthesis Solution, such as Boundary Scan, Programmable Memory built-in Self-Test Logic (PMBIST), Compression Logic, Masking, and On-Product Clock Generation Logic (OPCG): Advanced Testability Features (Video) To understand What the IEEE 1500 Wrapper and its Insertion Flow in Genus Synthesis Solution, follow the bytes: What Is IEEE 1500 Wrapper? (Video) IEEE 1500 Wrapper Insertion Flow in Genus Synthesis Solution (Video) Understand the On-product Clock Generation (OPCG) insertion flow in Genus Synthesis Solution Stylus CUI with this byte: Understanding On Product Clock Generator (OPCG) Insertion in Genus Stylus CUI (Video) To debug DFT violations, you can use DFT Analyzer from Genus GUI and explore its features here: Debugging Using GUI: DFT Analyzer (Video) Exploring DFT Analyzer View of Genus Synthesis Solution GUI (Video) To understand What is Shadow Logic, How to Insert Test Points, How to do Testability Analysis Using LBIST, and How to Deterministic Fault Analysis in Genus, follow this article: What is Shadow Logic To insert the Boundary Scan Logic in and control Boundary Optimization in Genus Synthesis Solution, refer to these small bytes: How to Insert Boundary Scan Logic in Genus? Video) Controlling Boundary Optimization in Genus Synthesis Solution Stylus CUI (Video) Compression techniques are used during scan insertion to reduce the test data volume and test application time (TAT) while retaining the test coverage. To understand what compression and the compression techniques are, watch this article: What is Compression Technique During Scan Insertion? (Video) Interested to know what "Unified Compression" is? To get the concept, you can watch this small demo: What Is Unified Compression? (Video) To Explore More, Register for Online Training Log on to Cadence.com with your registered Cadence ID and password. Select Learning from the menu > Online Courses. Search for "Test Synthesis with Genus Stylus Common UI" using the search bar. Select the course and click "Enroll." Full Article DFT Modus DFT IEEE 1500 Genus Synthesis Solution
ex Greenfield FDI Performance Index 2019: Serbia storms to top By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Mon, 12 Aug 2019 17:08:37 +0100 Research by fDi Intelligence reveals which countries receive more than their ‘expected share’ of FDI. Full Article
ex Tech Start-up FDI Attraction Index 2019 By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Thu, 17 Oct 2019 12:00:13 +0100 Research by fDi Intelligence reveals which cities received the most tech start-up FDI relative to their population between 2016 and 2018, with European cities coming out on top. Full Article
ex EBRD president looks to African expansion By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Thu, 12 Dec 2019 12:01:14 +0000 The EU is considering a broader mandate for the EBRD, and its president, Sir Suma Chakrabarti, believes its model would work in sub-Saharan Africa. Full Article
ex Brexit uncertainty drives auto industry towards Germany By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Fri, 15 Nov 2019 17:14:11 +0000 Tesla's decision part of broader trend of investment into Germany at UK's expense. Full Article
ex Mobility expertise boosts Braunschweig's ambitions By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Thu, 12 Dec 2019 12:01:00 +0000 Despite nurturing its R&D capacity, the city of Braunschweig lags its German peers in attracting FDI. Now it hopes a focus on the mobility sector will mean its technical skills are matched with investment. Full Article
ex Emerging markets predicted to spearhead GDP growth over next decade By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Tue, 14 Jan 2020 11:24:32 +0000 Lower fertility rates will boost economic growth, according to a demographic model developed by Renaissance Capital. Full Article