allen Penn College hosts students and teachers for Cyber Challenge Day By www.psu.edu Published On :: Tue, 05 Nov 2024 15:06:36 -0500 More than 100 middle and high school students and teachers from throughout the state learned valuable lessons during the recent Cyber Challenge Day at Pennsylvania College of Technology. Full Article
allen Panelists at PSU-LV event discuss importance, challenges facing reading literacy By www.psu.edu Published On :: Mon, 04 Nov 2024 11:15:58 -0500 Shifts in how reading is taught have led to declining reading literacy scores on standardized tests across U.S. Full Article
allen The Five Big Challenges Ahead for Advanced Placement By www.edweek.org Published On :: Tue, 03 Sep 2019 00:00:00 +0000 AP has managed to dodge the partisan pitfalls that have felled other ambitious curricular efforts—so far, write Chester E. Finn Jr. and Andrew E. Scanlan. Full Article Assessment+and+testing
allen Largest St. Patrick's Challenge By www.om.org Published On :: Wed, 08 May 2019 19:31:07 +0000 In 2019, OM Ireland hosted its largest St. Patrick's outreach. Full Article
allen Brazilians challenged to obey God at annual missions conference By www.om.org Published On :: Wed, 21 Sep 2011 20:41:04 +0000 Several Brazilians are now interested in serving full time with OM after attending a missions conference hosted by OM in Rio de Janeiro. Full Article
allen Challenged for missions in southern Brazil By www.om.org Published On :: Fri, 21 Aug 2015 17:03:30 +0000 OM challenges over 600 Brazilians to consider their role in the Great Commission during the Global Challenge International Winter Conference held in Criciúma. Full Article
allen Delaware Joins the ALL-IN Foster Adoption Challenge By news.delaware.gov Published On :: Thu, 12 Nov 2020 19:25:11 +0000 WILMINGTON – Governor John Carney and the Delaware Children’s Department have joined the federal ALL-IN Foster Adoption Challenge, raising awareness of permanency efforts for children and youth in foster care. This challenge, spearheaded by the Administration for Children and Families, raises awareness and looks to build partnerships that focus on the goal of finding loving, permanent […] Full Article Department of Services for Children Youth and their Families News
allen Resources to Help Delawareans in Challenging Times By news.delaware.gov Published On :: Fri, 18 Dec 2020 17:33:53 +0000 WILMINGTON –The Delaware Children’s Department (DSCYF) is sharing mental health and resiliency resources to help families nurture hope during the holiday season and beyond. The COVID-19 pandemic has changed our way of life in Delaware in so many ways, from causing financial strains to impacting our mental health. Even in times of great struggles, however, […] Full Article Department of Services for Children Youth and their Families mental health
allen The Challenging, Often Isolating Work of School District Chief Equity Officers By www.edweek.org Published On :: Tue, 22 Oct 2019 00:00:00 +0000 As some districts try to dismantle racist and biased policies and practices, they are creating high-profile positions to lead that public, sometimes hostile, reckoning. Full Article Achievement+gap
allen Challenged in Africa By www.om.org Published On :: Thu, 02 Apr 2015 16:27:40 +0000 OM Chile worker shares her experience of the AIDSLink International training in South Africa. Full Article
allen iPhone 17 Air Might Not Be as Thick as Apple Planned Due to Technical Challenges, Tipster Claims By www.gadgets360.com Published On :: Tue, 12 Nov 2024 12:04:01 +0530 Apple's iPhone 17 Air model might not be as thin as the company previously planned, according to a tipster. Expected to replace the 'Plus' model in its lineup in 2025, Apple has reportedly encountered challenges in lowering the device's thickness due to the battery. Full Article
allen Amid Ongoing Divorce From Ben Affleck, Jennifer Lopez On How Past "Challenging Relationships" Influence Her Film Roles By www.ndtv.com Published On :: Mon, 11 Nov 2024 13:54:36 +0530 Jennifer Lopez and Ben Affleck filed for divorce in August 2024 Full Article
allen "Only Rs 55": Bengaluru Vendor Challenges Zepto, BlinkIt's Coconut Prices, Goes Viral By food.ndtv.com Published On :: Sat, 09 Nov 2024 18:24:53 +0530 While commerce apps are charging a high price for coconut, the local vendors viral ad is gaining traction for a low price. Full Article
allen Governor Carney, Lt. Governor Hall-Long Support Veterans Affairs’ Governor’s Challenge on Suicide Prevention By news.delaware.gov Published On :: Wed, 09 Feb 2022 19:59:08 +0000 WILMINGTON, Del. – Governor Carney and Lt. Governor Bethany Hall-Long on Wednesday announced their support for the U.S. Department of Veterans Affairs (VA) and Substance Abuse and Mental Health Service Administration’s (SAMHSA) Governor’s Challenge to Prevent Suicide Among Service Members, Veterans, and their Families. The Governor’s challenge is a national initiative that promotes a coordinated […] Full Article Governor John Carney Lt. Governor Bethany Hall-Long Office of the Governor Office of the Lieutenant Governor Office of Veterans Services governor Governor Carney mental health suicide prevention Veterans
allen Heritage Commission’s Book of the Week: Allen McLane – Patriot, Solider, Spy, Port Collector By news.delaware.gov Published On :: Mon, 09 May 2022 14:30:07 +0000 The history of the Revolutionary War is full of heroes, men like George Washington, Marquis de Lafayette, and Nathanial Greene. Although many have been immortalized in song and verse, others have fallen into obscurity. Allen McLane is one such hero, serving as a soldier, a spy, a statesman, and more. This book, written as a […] Full Article Delaware Heritage Commission Delaware Public Archives AllenMcLane Colonial Delaware
allen Allen Frear Elementary Student Wins Arbor Day Poster Contest By news.delaware.gov Published On :: Tue, 12 Apr 2022 17:31:56 +0000 Lilly Bartlett, a fifth-grader from Allen Frear Elementary School in Camden, is the overall winner of the Delaware Forest Service's annual Arbor Day School Poster Contest. This year's competition attracted almost 9,500 entries from more than 75 schools. The theme – "Trees Are Terrific…for Today and Tomorrow!" – highlights the long-lasting impact of planting a tree and the many benefits it provides for the future. Since 1872, Arbor Day has been observed as a special day to plant trees and celebrate their many benefits! 2022 marks the 150th anniversary of the first Arbor Day in Nebraska. The complete gallery of winners is at de.gov/arbordaypostercontest Full Article Department of Agriculture Forest Service News Urban and Community Forestry
allen Odessa FFA Students Place First in Forestry Challenge By news.delaware.gov Published On :: Wed, 28 Jun 2023 14:59:18 +0000 DOVER, Del. (June 28, 2023) – Odessa High School in Townsend placed first among six Delaware high school FFA chapters that squared off at Brecknock County Park in Camden last week, at the annual “Forestry Career Development Event (CDE),” a challenging test of tree identification skills, forestry tools and knowledge, and math-related abilities. Each team […] Full Article Department of Agriculture Forest Service Delaware Forest Service education FFA forestry
allen Delaware Forest Service Partners with Delaware Libraries to Promote Smokey Bear Reading Challenge By news.delaware.gov Published On :: Wed, 03 Jan 2024 18:41:23 +0000 The challenge is geared towards children ages 4 through 10, but all ages are welcome to join. The Smokey Bear Reading Challenge aims to engage youth in reading about wildfire prevention, forests, and natural resource careers while they explore their local environment. Full Article Delaware Libraries Department of Agriculture Forest Service Delaware Forest Service education environmental education Forest Forest Education library reading Reading Challenge Smokey Bear tree wildfire education wildfire prevention
allen Flags to be lowered Sunday for National Fallen Firefighters Memorial Day By news.delaware.gov Published On :: Fri, 02 Oct 2020 14:00:14 +0000 Everyday across Delaware, thousands of firefighters serve their communities and protect the public by responding to not only fires but almost any emergency situation. Sunday, October 4 has been recognized by Congress as the day US and State flags are to be flown at half-staff in recognition of the National Fallen Firefighters Memorial Day. Governor […] Full Article Flag Status Office of Management and Budget National Fallen Firefighters Memorial Day
allen Unlocking generative AI: Navigating challenges to reap unprecedented business benefits By blogs.sas.com Published On :: Wed, 23 Oct 2024 10:00:37 +0000 As businesses in the UK and Ireland rapidly adopt generative AI, strategic insights from the latest SAS study reveal the roadmap to successful integration and the hurdles to overcome. GenAI is rapidly transforming how businesses operate, innovate, and interact with customers and employees alike. However, as the technology proliferates, so [...] Unlocking generative AI: Navigating challenges to reap unprecedented business benefits was published on SAS Voices by Iain Brown Full Article Innovation 2025 predictions genAI generative AI governance innovation predictions trends
allen 2024 Lt. Governor’s Challenge Winners Announced By news.delaware.gov Published On :: Thu, 23 May 2024 15:29:29 +0000 DOVER, Del. – The 2024 Lt. Governor’s Wellness Leadership Challenge has announced this year’s award recipients. The winning submissions came from individuals, organizations, and institutions across Delaware who committed to enacting better health and wellness for their communities, ultimately helping to elevate the well-being, productivity, and prosperity of the state of Delaware. The mission of […] Full Article Lt. Governor Bethany Hall-Long News Office of the Lieutenant Governor
allen Hall-Long, Community Leaders Celebrate 2024 Lt. Governor’s Challenge Honorees By news.delaware.gov Published On :: Thu, 30 May 2024 17:48:55 +0000 CLAYTON, Del. – The 2024 Lt. Governor’s Wellness Leadership Challenge award ceremony on Wednesday hosted the largest class of honorees, shining a light on creative ways to address food insecurity, chronic disease prevention, postpartum care, and more. This year’s winning submissions came from individuals, organizations, and institutions across Delaware who committed to enacting better health […] Full Article Lt. Governor Bethany Hall-Long News Office of the Lieutenant Governor
allen DesignCon Best Paper 2024: Addressing Challenges in PDN Design By community.cadence.com Published On :: Tue, 17 Sep 2024 19:40:00 GMT Explore Impacts of Finite Interconnect Impedance on PDN Characterization Over the past few decades, many details have been worked out in the power distribution network (PDN) in the frequency and time domains. We have simulation tools that can analyze the physical structure from DC to very high frequencies, including spatial variations of the behavior. We also have frequency- and time-domain test methods to measure the steady-state and transient behavior of the built-up systems. All of these pieces in our current toolbox have their own assumptions, limitations, and artifacts, and they constantly raise the challenging question that designers need to answer: How to select the design process, simulation, measurement tools, and processes so that we get reasonable answers within a reasonable time frame with a reasonable budget. Read this award-winning DesignCon 2024 paper titled “Impact of Finite Interconnect Impedance Including Spatial and Domain Comparison of PDN Characterization.” Led by Samtec’s Istvan Novak and written with a team of nine authors from Cadence, Amazon, and Samtec, the paper discusses a series of continually evolving challenges with PDN requirements for cutting-edge designs. Read the full paper now: “Impact of Finite Interconnect Impedance Including Spatial and Domain Comparison of PDN Characterization.” Full Article featured DesignCon PDN signal integrity analysis Signal Integrity PDN Analysis Sigrity
allen Using Voltus IC Power Integrity to Overcome 3D-IC Design Challenges By community.cadence.com Published On :: Tue, 08 Oct 2024 06:12:00 GMT Power network design and analysis of 3D-ICs is a major challenge due to the complex nature and large size of the power network. In addition, designers must deal with the complexity of routing power through the interposer, multiple dies, through-silicon vias (TSVs), and through-dielectric vias (TDVs). Cadence’s Integrity 3D-IC Platform and Voltus IC Power Integrity Solution provide a fully integrated solution for early planning and analysis of 3D-IC power networks, 3D-IC chip-centric power integrity signoff, and hierarchical methods that significantly improve capacity and performance of power integrity (PI) signoff while maintaining a very high level of accuracy at signoff. This blog summarizes the typical design challenges faced by today’s 3D-IC designers, as discussed in our recent webinar, “Addressing 3D-IC Power Integrity Design Challenges.” Please click here to view the full webinar. Major Trends in Advanced Chip Design From chips to chiplets, stacked die, 3D-ICs, and more, three major trends are impacting advanced semiconductor packaging design. The first is heterogenous integration, which we define as a disaggregated approach to designing systems on chip (SoCs) from multiple chiplets. This approach is similar to system-in-package (SiP) design, except that instead of integrating multiple bare die – including 3D stacking – on a single substrate, multiple IPs are integrated in the form of chiplets on a single substrate. The second major trend is around new silicon manufacturing techniques that leverage silicon vias (TSVs) and high-density fanout RDL. These advancements mean that silicon is becoming a more attractive material for packaging, especially when high bandwidth and form factor become key attributes in the end design. This brings new design and verification challenges to most packaging engineers who typically work with organic and ceramic substrate materials. Finally, on the ecosystem side, all the large semiconductor foundries now offer their own versions of advanced packaging. This brings new ways of supporting design teams with technologies like reference flows and PDKs, concepts that have typically been lacking in the packaging community. Cadence has worked with many of the leading foundries and outsourced semiconductor assembly and test facilities (OSATs) to develop multi-chip(let) packaging reference flows and package assembly design kits. The downside is that, with the time restrictions designers are under today, there isn’t enough time to simulate the details of these flows and PDKs further. For those who must make the best electro/thermal/physical decisions to achieve the best power/performance/area/cost (PPAC), factors can include accurate die size estimations, thermal feasibility, die-to-die interconnect planning, interposer planning (silicon/organic), front-to-front and front-to-back (F2F/F2B) planning, layer stack and electromigration/ IR drop (EMIR)/TSV planning, IO bandwidth feasibility, and system-level architecture selection. 3D-IC Power Network Design and Analysis The key to success in 3D-IC design is early power integrity planning and analysis. Cadence’s Integrity 3D-IC platform is a high-capacity 3D-IC platform that enables 3D design planning, implementation, and system analysis in a single, unified cockpit. Cadence’s Voltus IC Power Integrity Solution is a comprehensive full chip electromigration, IR drop, and power analysis solution. With its fully distributed architecture and hierarchical analysis capabilities, Voltus provides very fast analysis and has the capacity to handle the largest designs in the industry. Typically, 3D-IC PDN design and analysis is performed in four phases, as shown in Figure 1. Phase 1 - Perform early power delivery network (PDN) exploration with each fabric’s PDN cascaded in system PI with early circuit models. Phase 2 – Plan 3D-IC PDNs in Cadence’s Integrity 3D-IC platform, including micro bumps, TSVs, and through dielectric vias (TDVs), power grid synthesis for dies, and early rail analysis and optimization. Phase 3 – Perform full chip-centric signoff in Voltus with detailed die, interposer, and package models, including chip die models, while keeping some dies flat. Phase 4 – Perform full system-level signoff with Cadence’s Sigrity SystemPI using detailed extracted package models from Sigrity XtractIM, board models from Sigrity PowerSI or Clarity 3D Solver, interposer models from XtractIM or Voltus, and chip power models from Voltus. Figure 1. 3D-IC PDN design and analysis phases 3D-IC Chip-Centric Signoff The integration of Integrity 3D-IC and Voltus enables chip-centric early analysis and signoff. Figure 2 and Figure 3 highlight the chip centric early PI optimization and signoff flows. In early analysis, the on-chip power networks are synthesized, and the micro bumps and TSVs can be placed and optimized. In the signoff stage, all the detailed design data is used for power analysis, and detailed models are extracted and used for package, interposer, and on-die power networks. Figure 2. Early chip-centric PI analysis and optimization flow Figure 3. Chip-centric 3D-IC PI signoff Hierarchical 3D-IC PI Analysis To improve the capacity and performance of 3D-IC PI analysis, Voltus enables hierarchical analysis using chiplet models. Chiplet models can be reduced chip models in spice format or more accurate xPGV models which are highly accurate proprietary models generated by Voltus. With xPGV models, the hierarchical PI analysis has almost the same accuracy as flat analysis but offers 10X or higher benefit in runtime and memory requirements. Conclusion This blog has highlighted the major design trends enabled by advanced 3D packaging and the design challenges arising from these advancements. The design of power delivery networks is one of these major challenges. We have discussed Cadence solutions to overcome this PI challenge. To learn more, view our recent webinar, "Addressing 3D-IC Power Integrity Design Challenges" and visit the Voltus web page. Full Article PDN 3D-IC Integrity Power Integrity in-design analysis Sigrity Clarity 3D Solver
allen Deferrable Memory Write Usage and Verification Challenges By community.cadence.com Published On :: Thu, 17 Oct 2024 21:00:00 GMT The application of real-time data processing or responsiveness is crucial, such as in high-performance computing, data centers, or applications requiring low-latency data transfers. It enables efficient use of PCIe bandwidth and resources by intelligently managing memory write operations based on system dynamics and workload priorities. By effectively leveraging Deferrable Memory Write [DMWr], Devices can achieve optimized performance and responsiveness, aligning with the evolving demands of modern computing applications. What Is Deferrable Memory Write? Deferrable Memory Write (DMWr) ECN introduced this new memory transaction type, which was later officially incorporated in PCIe 5.0 to CXL2.0. This enhanced type of memory transaction is Deferrable Memory Write [DMWr], which flows as another type of existing Read/Write memory transaction; the major difference of this Deferrable Memory Write, where the Requester attempts to write to a given location in Memory Space using the non-posted DMWr TLP Type, it Postponing their completion of memory write transactions to improve overall system efficiency and performance, those memory write operation can be delay or deferred until other priority task complete. The Deferrable Memory Write (DMWr) requires the Completer to return an acknowledgment to the Requester and provides a mechanism for the recipient to defer (temporarily refuse to service) the Request. DMWr provides a mechanism for Endpoints and hosts to choose to carry out or defer incoming DMWr Requests. This mechanism can be used by Endpoints and Hosts to simplify the design of flow control, reduce latency, and improve throughput. The Deferrable Memory writes TLP format in Figure A. (Fig A) Deferrable Memory writes TLP format. Example Scenario Here's how the DMWr works with a simplified example: Imagine a system with an endpoint device (Device A) and a host CPU (Device B). Device B wants to write data to Device A's memory, but due to varying reasons such as system bus congestion or prioritization of other transactions, Device A can defer the completion of the memory write request. Just follow these steps: Initiation of Memory Write: Device B initiates a memory write transaction to Device A. This involves sending the memory write request along with the data payload over the PCIe physical layer link. Acknowledgment and Deferral: Upon receiving the memory write request, Device A acknowledges the transaction but may decide to defer its completion. Device A sends an acknowledgment (ACK) back to Device B, indicating it has received the data and intends to complete the write operation but not immediately. Deferred Completion: Device A defers the completion of the memory write operation to a later, more opportune time. This deferral allows Device A to prioritize other transactions or optimize the use of system resources, such as memory bandwidth or processor availability. Completion and Response: At a later point, Device A completes the deferred memory write operation and sends a completion indication back to Device B. This completion typically includes any status updates or additional information related to the transaction. Usage or Importance of DMWr Deferrable Memory Write usage provides the improvement in the following aspects: Reduced Latency: By deferring less critical memory write operations, more critical transactions can be processed with lower latency, improving overall system responsiveness. Improved Efficiency: Optimizes the utilization of system resources such as memory bandwidth and CPU cycles, enhancing the efficiency of data transfers within the PCIe architecture. Enhanced Performance: Allows devices to manage and prioritize transactions dynamically, potentially increasing overall system throughput and reducing contention. Challenges in the Implementation of DMWr Transactions The implementation of deferrable memory writes (DMWr) introduces several advancements and challenges in terms of usage and verification: Timing and Synchronization: DMWr allows transactions to be deferred, complicating timing requirements or completing them within acceptable timing windows to avoid protocol violations. Ensuring proper synchronization between devices becomes critical to prevent data loss or corruption. Protocol Compliance: Verification must ensure compliance with ECN PCIe 6.0 and CXL specifications regarding when and how DMWr transactions can be initiated and completed. Performance Optimization: While DMWr can improve overall system performance by reducing latency, verifying its impact on system performance and ensuring it meets expected benchmarks is crucial. Error Handling: Handling errors related to deferred transactions adds complexity. Verifying error detection and recovery mechanisms under various scenarios (e.g., timeout during deferral) is essential. Verification Challenges of DMWr Transactions The challenges to verifying the DMWr transaction consist of all checks with respect to Function, Timing, Protocol compliance, improvement, Error scenario, and security usage on purpose, as well as Data integrity at the PCIe and CXL. Functional Verification: Verifying the correct implementation of DMWr at both ends of the PCIe link (transmitter and receiver) to ensure proper functionality and adherence to specifications. Timing Verification: Validating timing constraints associated with deferring writes and ensuring transactions are completed within specified windows without violating protocol rules. Protocol Compliance Verification: Checking that DMWr transactions adhere to PCIe and CXL protocol rules, including ordering rules and any restrictions on deferral based on the transaction type. Performance Verification: Assessing the impact of DMWr on overall system performance, including latency reduction and bandwidth utilization, through simulation and testing. Error Scenario Verification: Creating and testing scenarios to verify error handling mechanisms related to DMWr, such as timeouts, retries, and recovery procedures. Security Considerations: Assessing potential security vulnerabilities related to DMWr, such as data integrity risks during deferred transactions or exposure to timing-based attacks. Major verification challenges and approaches are timing and synchronization verification in the context of implementing deferrable memory writes (DMWr), which is crucial due to the inherent complexities introduced by deferred transactions. Here are the key issues and approaches to address them: Timing and Synchronization Issues Transaction Completion Timing: Issue: Ensuring deferred transactions are completed within the specified time window without violating protocol timing constraints. Approach: Design an internal timer and checker to model worst-case scenarios where transactions are deferred and verify that they are complete within allowable latency limits. This involves simulating various traffic loads and conditions to assess timing under different scenarios. Ordering and Dependencies: Issue: Verifying that transactions deferred using DMWr maintain the correct ordering and dependencies relative to non-deferred transactions. Approach: Implement test scenarios that include mixed traffic of DMWr and non-DMWr transactions. Verify through simulation or emulation that dependencies and ordering requirements are correctly maintained across the PCIe link. Interrupt Handling and Response Times: Issue: Verify the handling of interrupts and ensure timely responses from devices involved in DMWr transactions. Approach: Implement test cases that simulate interrupt generation during DMWr transactions. Measure and verify the response times to interrupts to ensure they meet system latency requirements. In conclusion, while deferrable memory writes in PCIe and CXL offer significant performance benefits, their implementation and verification present several challenges related to timing, protocol compliance, performance optimization, and error handling. Addressing these challenges requires rigorous testing and testbench of traffic, advanced verification methodologies, and a thorough understanding of PCIe specifications and also the motivation behind introducing this Deferrable Write is effectively used in the CXL further. Outcomes of Deferrable Memory Write verify that the performance benefits of DMWr (reduced latency, improved throughput) are achieved without compromising timing integrity or violating protocol specifications. In summary, PCIe and CXL are complex protocols with many verification challenges. You must understand many new Spec changes and consider the robust verification plan for the new features and backward compatible tests impacted by new features. Cadence's PCIe 6.0 Verification IP is fully compliant with the latest PCIe Express 6.0 specifications and provides an effective and efficient way to verify the components interfacing with the PCIe 6.0 interface. Cadence VIP for PCIe 6.0 provides exhaustive verification of PCIe-based IP and SoCs, and we are working with Early Adopter customers to speed up every verification stage. More Information For more info on how Cadence PCIe Verification IP and TripleCheck VIP enable users to confidently verify PCIe 6.0, see our VIP for PCI Express, VIP for Compute Express Link, and TripleCheck for PCI Express See the PCI-SIG website for more details on PCIe in general and the different PCI standards. Full Article CXL PCIe PCIe Gen5 Deferrable memory write transaction
allen Randomization considerations for PCIe Integrity and Data Encryption Verification Challenges By community.cadence.com Published On :: Fri, 08 Nov 2024 05:00:00 GMT Peripheral Component Interconnect Express (PCIe) is a high-speed interface standard widely used for connecting processors, memory, and peripherals. With the increasing reliance on PCIe to handle sensitive data and critical high-speed data transfer, ensuring data integrity and encryption during verification is the most essential goal. As we know, in the field of verification, randomization is a key technique that drives robust PCIe verification. It introduces unpredictability to simulate real-world conditions and uncover hidden bugs from the design. This blog examines the significance of randomization in PCIe IDE verification, focusing on how it ensures data integrity and encryption reliability, while also highlighting the unique challenges it presents. For more relevant details and understanding on PCIe IDE you can refer to Introducing PCIe's Integrity and Data Encryption Feature . The Importance of Data Integrity and Data Encryption in PCIe Devices Data Integrity : Ensures that the transmitted data arrives unchanged from source to destination. Even minor corruption in data packets can compromise system reliability, making integrity a critical aspect of PCIe verification. Data Encryption : Protects sensitive data from unauthorized access during transmission. Encryption in PCIe follows a standard to secure information while operating at high speeds. Maintaining both data integrity and data encryption at PCIe’s high-speed data transfer rate of 64GT/s in PCIe 6.0 and 128GT/s in PCIe 7.0 is essential for all end point devices. However, validating these mechanisms requires comprehensive testing and verification methodologies, which is where randomization plays a very crucial role. You can refer to Why IDE Security Technology for PCIe and CXL? for more details on this. Randomization in PCIe Verification Randomization refers to the generation of test scenarios with unpredictable inputs and conditions to expose corner cases. In PCIe verification, this technique helps us to ensure that all possible behaviors are tested, including rare or unexpected situations that could cause data corruption or encryption failures that may cause serious hindrances later. So, for PCIe IDE verification, we are considering the randomization that helps us verify behavior more efficiently. Randomization for Data Integrity Verification Here are some approaches of randomized verifications that mimic real-world traffic conditions, uncovering subtle integrity issues that might not surface in normal verification methods. 1. Randomized Packet Injection: This technique randomized data packets and injected into the communication stream between devices. Here we Inject random, malformed, or out-of-sequence packets into the PCIe link and mix valid and invalid IDE-encrypted packets to check the system’s ability to detect and reject unauthorized or invalid packets. Checking if encryption/decryption occurs correctly across packets. On verifying, we check if the system logs proper errors or alerts when encountering invalid packets. It ensures coverage of different data paths and robust protocol check. This technique helps assess the resilience of the IDE feature in PCIe in below terms: (i) Data corruption: Detecting if the system can maintain data integrity. (ii) Encryption failures: Testing the robustness of the encryption under random data injection. (iii) Packet ordering errors: Ensuring reordering does not affect data delivery. 2. Random Errors and Fault Injection: It involves simulating random bit flips, PCRC errors, or protocol violations to help validate the robustness of error detection and correction mechanisms of PCIe. These techniques help assess how well the PCIe IDE implementation: (i) Detects and responds to unexpected errors. (ii) Maintains secure communication under stress. (iii) Follows the PCIe error recovery and reporting mechanisms (AER – Advanced Error Reporting). (iv) Ensures encryption and decryption states stay synchronized across endpoints. 3. Traffic Pattern Randomization: Randomizing the sequence, size, and timing of data packets helps test how the device maintains data integrity under heavy, unpredictable traffic loads. Randomization for Data Encryption Verification Encryption adds complexity to verification, as encrypted data streams are not readable for traditional checks. Randomization becomes essential to test how encryption behaves under different scenarios. Randomization in data encryption verification ensures that vulnerabilities, such as key reuse or predictable patterns, are identified and mitigated. 1. Random Encryption Keys and Payloads: Randomly varying keys and payloads help validate the correctness of encryption without hardcoding assumptions. This ensures that encryption logic behaves correctly across all possible inputs. 2. Randomized Initialization Vectors (IVs): Many encryption protocols require a unique IV for each transaction. Randomized IVs ensure that encryption does not repeat patterns. To understand the IDE Key management flow, we can follow the below diagram that illustrates a detailed example key programming flow using the IDE_KM protocol. Figure 1: IDE_KM Example As Figure 1 shows, the functionality of the IDE_KM protocol involves Start of IDE_KM Session, Device Capability Discovery, Key Request from the Host, Key Programming to PCIe Device, and Key Acknowledgment. First, the Host starts the IDE_KM session by detecting the presence of the PCIe devices; if the device supports the IDE protocol, the system continues with the key programming process. Then a query occurs to discover the device’s encryption capabilities; it ensures whether the device supports dynamic key updates or static keys. Then the host sends a request to the Key Management Entity to obtain a key suitable for the devices. Once the key is obtained, the host programs the key into the IDE Controller on the PCIe endpoint. Both the host and the device now share the same key to encrypt and authenticate traffic. The device acknowledges that it has received and successfully installed the encryption key and the acknowledgment message is sent back to the host. Once both the host and the PCIe endpoint are configured with the key, a secure communication channel is established. From this point, all data transmitted over the PCIe link is encrypted to maintain confidentiality and integrity. IDE_KM plays a crucial role in distributing keys in a secure manner and maintaining encryption and integrity for PCIe transactions. This key programming flow ensures that a secure communication channel is established between the host and the PCIe device. Hence, the Randomized key approach ensures that the encryption does not repeat patterns. 3. Randomization PHE: Partial Header Encryption (PHE) is an additional mechanism added to Integrity and Data Encryption (IDE) in PCIe 6.0. PHE validation using a variety of traffic; incorporating randomization in APIs provided for validating PHE feature can add more robust Encryption to the data. Partial Header Encryption in Integrity and Data Encryption for PCIe has more detailed information on this. Figure 2: High-Level Flow for Partial Header Encryption 4. Randomization on IDE Address Association Register values: IDE Address Association Register 1/2/3 are supposed to be configured considering the memory address range of IDE partner ports. The fields of IDE address registers are split multiple values such as Memory Base Lower, Memory Limit Lower, Memory Base Upper, and Memory Limit Upper. IDE implementation can have multiple register blocks considering addresses with 32 or 64, different registers sizes, 0-255 selective streams, 0-15 address blocks, etc. This Randomization verification can help verify all the corner cases. Please refer to Figure 2. Figure 3: IDE Address Association Register 5. Random Faults During Encryption: Injecting random faults (e.g., dropped packets or timing mismatches) ensures the system can handle disruptions and prevent data leakage. Challenges of IDE Randomization and its Solution Randomization introduces a vast number of scenarios, making it computationally intensive to simulate every possibility. Constrained randomization limits random inputs to valid ranges while still covering edge cases. Again, using coverage-driven verification to ensure critical scenarios are tested without excessive redundancy. Verifying encrypted data with random inputs increases complexity. Encryption masks data, making it hard to verify outputs without compromising security. Here we can implement various IDE checks on the IDE callback to analyze encrypted traffic without decrypting it. Randomization can trigger unexpected failures, which are often difficult to reproduce. By using seed-based randomization, a specific seed generates a repeatable random sequence. This helps in reproducing and analyzing the behavior more precisely. Conclusion Randomization is a powerful technique in PCIe verification, ensuring robust validation of both data integrity and data encryption. It helps us to uncover subtle bugs and edge cases that a non-randomized testing might miss. In Cadence PCIe VIP, we support full-fledged IDE Verification with rigorous randomized verification that ensures data integrity. Robust and reliable encryption mechanisms ensure secure and efficient data communication. However, randomization also brings various challenges, and to overcome them we adopt a combination of constrained randomization, seed-based testing, and coverage-driven verification. As PCIe continues to evolve with higher speeds and focuses on high security demands, our Cadence PCIe VIP ensures it is in line with industry demand and verify high-performance systems that safeguard data in real-world environments with excellence. For more information, you can refer to Verification of Integrity and Data Encryption(IDE) for PCIe Devices and Industry's First Adopted VIP for PCIe 7.0 . More Information: For more info on how Cadence PCIe Verification IP and TripleCheck VIP enables users to confidently verify IDE, see our VIP for PCI Express , VIP for Compute Express Link for and TripleCheck for PCI Express For more information on PCIe in general, and on the various PCI standards, see the PCI-SIG website . Full Article
allen Overcoming Thermal Challenges in Modern Electronic Design By community.cadence.com Published On :: Tue, 09 Aug 2022 14:24:00 GMT Melika Roshandell talks with David Malinak in a Microwaves & RF QuickChat video about the thermal challenges in today’s complex electronic designs and how the Celsius solver uniquely addresses them.(read more) Full Article 3D-IC in-design analysis Thermal Integrity Thermal Analysis electronic systems
allen Overcoming Mixed-Signal Design Challenges with Virtuoso Digital Implementation By community.cadence.com Published On :: Fri, 19 Jul 2024 22:44:00 GMT The world of electronics design thrives on efficient tools that bridge the gap between concept and silicon. Virtuoso Digital Implementation is a powerful ally for mixed-signal designs, which integrate both analog and digital components. This blog post will examine Virtuoso Digital Implementation's capabilities and explore how it can streamline your mixed-signal design workflow. Virtuoso Digital Implementation in a Nutshell Virtuoso Digital Implementation is a license package within the Cadence Virtuoso Design Platform. It offers a streamlined RTL-to-GDSII flow to implement smaller digital blocks within a mixed-signal design environment. Here's what makes Virtuoso Digital Implementation stand out: Focus on Small Digital Blocks: Optimized for digital blocks with an instance count of up to 50,000 (expandable to 150,000 with specific configurations), Virtuoso Digital Implementation is ideal for integrating digital logic into your analog-centric design. Leveraging Industry Leaders: Virtuoso Digital Implementation utilizes cut-down versions of the renowned Cadence Genus Synthesis Solution and Innovus Implementation System under the hood. This ensures you get access to proven technologies for logic optimization and place-and-route. Seamless Integration with the Virtuoso Environment: Virtuoso Digital Implementation's key advantage is its tight integration with the Virtuoso Layout Suite. You can launch the synthesis and place-and-route tools directly from the Virtuoso environment, eliminating the need to switch between platforms. Benefits of Using Virtuoso Digital Implementation By incorporating Virtuoso Digital Implementation into your mixed-signal design flow, you can get several benefits: Simplified Workflow: Virtuoso Digital Implementation offers a centralized environment for both digital block implementation and layout editing within the Virtuoso environment. This reduces context switching and streamlines the design process. Faster Time-to-Market: Virtuoso Digital Implementation's streamlined workflow can significantly reduce design turnaround times, allowing you to get your product to market quicker. Improved Design Quality: Leveraging industry-leading synthesis and place-and-route engines from Cadence ensures high-quality digital block implementation within your mixed-signal design. Who Should Consider Virtuoso Digital Implementation? Virtuoso Digital Implementation is a valuable tool for anyone working on mixed-signal designs with smaller digital blocks. It's particularly well-suited for: Analog IC designers who need to integrate digital logic into their designs. Circuit design teams working on mixed-signal applications like data converters, power management ICs, and RF transceivers. Virtuoso Digital Implementation provides a compelling solution for designers working on mixed-signal projects. Its streamlined workflow, tight integration with the Virtuoso design platform, and access to proven digital design tools can significantly improve design efficiency and time-to-market. Virtuoso Digital Implementation is worth considering if you're looking to optimize your mixed-signal design flow. I am here to help and guide you on how to learn more about Virtuoso Digital Implementation flow. Welcome to Virtuoso Digital Implementation, an online course recently released. This course teaches implementing digital blocks using Cadence tools based on the Virtuoso Digital Implementation flow. Also, you can download a lab database after the lecture and get hands-on experience in each stage. Want to Enroll in this Course? We organize this Virtuoso Digital Implementation training for you as a "Blended" or "Live" training. Please reach out to Cadence Training for further information. Register for the Online Training with the following steps: Log on to cadence.com with your registered Cadence ID and password. Select Learning from the menu > Online Courses. Search for Virtuoso Digital Implementation using the search bar. Select the course and click Enroll. And don't forget to obtain your Digital Badge after completing the training! Related Resources Online Courses Cadence RTL-to-GDSII Flow v6.0 Virtuoso Digital Implementation Training Training Byte Videos How Do You Run Placement Optimization in the Innovus Implementation System? How to Run the Synthesis Without DFT? How to Run the Synthesis Flow with DFT? Creating Power Rings, Power Stripes, and Power Rails in the Innovus Implementation System How to Run Power Analysis and Analyze the Results in Innovus? Happy Learning! Full Article Virtuoso Schematic Editor Low Power Silicon Signoff and Verification Virtuoso Digital Implementation RTL-to-GDSII Cadence training Virtuoso symbol Virtuoso Layout Suite Mixed Signal Designers
allen Santander’s Ana Botin on the challenges of sustainable finance By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Thu, 29 Oct 2020 16:15:48 +0000 Santander head on the tightrope banks must walk in providing finance to support green transition, without shunning coal-reliant poorer nations. Full Article
allen European challenger banks step up By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Tue, 02 Jul 2019 13:28:47 +0100 Europe’s most well-known digital disruptor banks have been expanding across the globe, but not without some controversy. Alex Irwin-Hunt reports. Full Article
allen Climate Change Brings Challenges for the CNMI: Stronger Storms, Coral Loss, and Health Risks By www.eastwestcenter.org Published On :: Tue, 26 Jan 2021 20:00:56 +0000 Climate Change Brings Challenges for the CNMI: Stronger Storms, Coral Loss, and Health Risks Climate Change Brings Challenges for the CNMI: Stronger Storms, Coral Loss, and Health Risks ferrard Tue, 01/26/2021 - 10:00 Jan 26, 2021 Jan 26, 2021 Environment & Climate Environment & Climate Northern Mariana Islands Northern Mariana Islands News Release Home EWC Feeds Explore search All Programs All Regions All Topics Release Date Filters Reset filters News Release Home EWC Feeds Explore search All Programs All Regions All Topics Release Date Filters Reset filters Full Article
allen New Report: American Sāmoa Faces Health Threats, Stronger Storms, and Challenges for Coral Reefs from Climate Change By www.eastwestcenter.org Published On :: Mon, 07 Jun 2021 20:52:01 +0000 New Report: American Sāmoa Faces Health Threats, Stronger Storms, and Challenges for Coral Reefs from Climate Change New Report: American Sāmoa Faces Health Threats, Stronger Storms, and Challenges for Coral Reefs from Climate Change venkatp Mon, 06/07/2021 - 10:52 Jun 7, 2021 Jun 7, 2021 Environment & Climate Environment & Climate American Samoa American Samoa News Release Home EWC Feeds Explore search All Programs All Regions All Topics Release Date Filters Reset filters News Release Home EWC Feeds Explore search All Programs All Regions All Topics Release Date Filters Reset filters Full Article
allen New Report: Federated States of Micronesia Faces Stronger Storms, Health Threats, and Challenges for Atolls and Fisheries from Climate Change By www.eastwestcenter.org Published On :: Tue, 18 Jul 2023 00:08:26 +0000 New Report: Federated States of Micronesia Faces Stronger Storms, Health Threats, and Challenges for Atolls and Fisheries from Climate Change New Report: Federated States of Micronesia Faces Stronger Storms, Health Threats, and Challenges for Atolls and Fisheries from Climate Change ferrard Mon, 07/17/2023 - 14:08 Jul 18, 2023 Jul 18, 2023 Environment & Climate Environment & Climate Federated States of Micronesia Federated States of Micronesia News Release Home EWC Feeds Explore search All Programs All Regions All Topics Release Date Filters Reset filters News Release Home EWC Feeds Explore search All Programs All Regions All Topics Release Date Filters Reset filters Full Article
allen The Warming Arctic: How Thawing Permafrost Challenges Environmental Governance By www.eastwestcenter.org Published On :: Thu, 29 Aug 2024 19:57:55 +0000 The Warming Arctic: How Thawing Permafrost Challenges Environmental Governance The Warming Arctic: How Thawing Permafrost Challenges Environmental Governance stanfords Thu, 08/29/2024 - 09:57 Apr 23, 2021 Apr 23, 2021 Environment & Climate Environment & Climate Arctic Arctic Web Article Home EWC Feeds Recent online articles and analysis that have been published on the East-West Center website. Explore search All Programs All Regions All Topics Release Date Filters Reset filters Web Article Home EWC Feeds Recent online articles and analysis that have been published on the East-West Center website. Explore search All Programs All Regions All Topics Release Date Filters Reset filters Full Article
allen Korea’s Economic Challenges in the 4th Industrial Revolution By www.eastwestcenter.org Published On :: Mon, 27 Aug 2018 21:56:14 +0000 Korea’s Economic Challenges in the 4th Industrial Revolution Korea’s Economic Challenges in the 4th Industrial Revolution ferrard Mon, 08/27/2018 - 11:56 Aug 23, 2018 Aug 23, 2018 Economics Economics South Korea South Korea East-West Wire Tagline News, Commentary, and Analysis Home EWC Feeds East-West Wire The East-West Wire is a news, commentary, and analysis service provided by the East-West Center in Honolulu. Any part or all of the Wire content may be used by media with attribution to the East-West Center or the person quoted. To receive East-West Center Wire media releases via email, subscribe here. For links to all East-West Center media programs, fellowships and services, see www.eastwestcenter.org/journalists. Explore search All Programs All Regions All Topics Release Date Filters Reset filters East-West Wire Tagline News, Commentary, and Analysis Home EWC Feeds East-West Wire The East-West Wire is a news, commentary, and analysis service provided by the East-West Center in Honolulu. Any part or all of the Wire content may be used by media with attribution to the East-West Center or the person quoted. To receive East-West Center Wire media releases via email, subscribe here. For links to all East-West Center media programs, fellowships and services, see www.eastwestcenter.org/journalists. Explore search All Programs All Regions All Topics Release Date Filters Reset filters Full Article
allen An Aging Population in Asia Creates Economic Challenges By www.eastwestcenter.org Published On :: Thu, 07 May 2020 21:54:26 +0000 An Aging Population in Asia Creates Economic Challenges An Aging Population in Asia Creates Economic Challenges Anonymous (not verified) Thu, 05/07/2020 - 11:54 May 7, 2020 May 7, 2020 Economics Economics Population Population South Korea South Korea Indonesia Indonesia East-West Wire Tagline News, Commentary, and Analysis Home EWC Feeds East-West Wire The East-West Wire is a news, commentary, and analysis service provided by the East-West Center in Honolulu. Any part or all of the Wire content may be used by media with attribution to the East-West Center or the person quoted. To receive East-West Center Wire media releases via email, subscribe here. For links to all East-West Center media programs, fellowships and services, see www.eastwestcenter.org/journalists. Explore search All Programs All Regions All Topics Release Date Filters Reset filters East-West Wire Tagline News, Commentary, and Analysis Home EWC Feeds East-West Wire The East-West Wire is a news, commentary, and analysis service provided by the East-West Center in Honolulu. Any part or all of the Wire content may be used by media with attribution to the East-West Center or the person quoted. To receive East-West Center Wire media releases via email, subscribe here. For links to all East-West Center media programs, fellowships and services, see www.eastwestcenter.org/journalists. Explore search All Programs All Regions All Topics Release Date Filters Reset filters Full Article
allen Catching Up in a Technology War—China's Challenge in Artificial Intelligence By www.eastwestcenter.org Published On :: Tue, 16 Jun 2020 21:20:40 +0000 Catching Up in a Technology War—China's Challenge in Artificial Intelligence Catching Up in a Technology War—China's Challenge in Artificial Intelligence Anonymous (not verified) Tue, 06/16/2020 - 11:20 Jun 16, 2020 Jun 16, 2020 Science & Technology Science & Technology China China United States United States East-West Wire Tagline News, Commentary, and Analysis Home EWC Feeds East-West Wire The East-West Wire is a news, commentary, and analysis service provided by the East-West Center in Honolulu. Any part or all of the Wire content may be used by media with attribution to the East-West Center or the person quoted. To receive East-West Center Wire media releases via email, subscribe here. For links to all East-West Center media programs, fellowships and services, see www.eastwestcenter.org/journalists. Explore search All Programs All Regions All Topics Release Date Filters Reset filters East-West Wire Tagline News, Commentary, and Analysis Home EWC Feeds East-West Wire The East-West Wire is a news, commentary, and analysis service provided by the East-West Center in Honolulu. Any part or all of the Wire content may be used by media with attribution to the East-West Center or the person quoted. To receive East-West Center Wire media releases via email, subscribe here. For links to all East-West Center media programs, fellowships and services, see www.eastwestcenter.org/journalists. Explore search All Programs All Regions All Topics Release Date Filters Reset filters Full Article
allen Challenges and Responses to COVID-19: Experience from Asia By www.eastwestcenter.org Published On :: Fri, 10 Jul 2020 19:17:23 +0000 Challenges and Responses to COVID-19: Experience from Asia Challenges and Responses to COVID-19: Experience from Asia Anonymous (not verified) Fri, 07/10/2020 - 09:17 Jul 10, 2020 Jul 10, 2020 Public Health Public Health East Asia East Asia South Asia South Asia Southeast Asia Southeast Asia East-West Wire Tagline News, Commentary, and Analysis Home EWC Feeds East-West Wire The East-West Wire is a news, commentary, and analysis service provided by the East-West Center in Honolulu. Any part or all of the Wire content may be used by media with attribution to the East-West Center or the person quoted. To receive East-West Center Wire media releases via email, subscribe here. For links to all East-West Center media programs, fellowships and services, see www.eastwestcenter.org/journalists. Explore search All Programs All Regions All Topics Release Date Filters Reset filters East-West Wire Tagline News, Commentary, and Analysis Home EWC Feeds East-West Wire The East-West Wire is a news, commentary, and analysis service provided by the East-West Center in Honolulu. Any part or all of the Wire content may be used by media with attribution to the East-West Center or the person quoted. To receive East-West Center Wire media releases via email, subscribe here. For links to all East-West Center media programs, fellowships and services, see www.eastwestcenter.org/journalists. Explore search All Programs All Regions All Topics Release Date Filters Reset filters Full Article
allen Forest Fires—Indonesian Experience Highlights Prevention Challenges By www.eastwestcenter.org Published On :: Fri, 11 Sep 2020 23:50:02 +0000 Forest Fires—Indonesian Experience Highlights Prevention Challenges Forest Fires—Indonesian Experience Highlights Prevention Challenges Anonymous (not verified) Fri, 09/11/2020 - 13:50 Sep 11, 2020 Sep 11, 2020 Environment & Climate Environment & Climate Indonesia Indonesia East-West Wire Tagline News, Commentary, and Analysis Home EWC Feeds East-West Wire The East-West Wire is a news, commentary, and analysis service provided by the East-West Center in Honolulu. Any part or all of the Wire content may be used by media with attribution to the East-West Center or the person quoted. To receive East-West Center Wire media releases via email, subscribe here. For links to all East-West Center media programs, fellowships and services, see www.eastwestcenter.org/journalists. Explore search All Programs All Regions All Topics Release Date Filters Reset filters East-West Wire Tagline News, Commentary, and Analysis Home EWC Feeds East-West Wire The East-West Wire is a news, commentary, and analysis service provided by the East-West Center in Honolulu. Any part or all of the Wire content may be used by media with attribution to the East-West Center or the person quoted. To receive East-West Center Wire media releases via email, subscribe here. For links to all East-West Center media programs, fellowships and services, see www.eastwestcenter.org/journalists. Explore search All Programs All Regions All Topics Release Date Filters Reset filters Full Article
allen For Journalists, Reporting on Cross-Border Disputes Poses Special Challenges and Dangers By www.eastwestcenter.org Published On :: Thu, 22 Jul 2021 02:58:12 +0000 For Journalists, Reporting on Cross-Border Disputes Poses Special Challenges and Dangers For Journalists, Reporting on Cross-Border Disputes Poses Special Challenges and Dangers venkatp Wed, 07/21/2021 - 16:58 Jul 21, 2021 Jul 21, 2021 Media Media Japan Japan South Korea South Korea India India Pakistan Pakistan West Asia West Asia East-West Wire Tagline News, Commentary, and Analysis Home EWC Feeds East-West Wire The East-West Wire is a news, commentary, and analysis service provided by the East-West Center in Honolulu. Any part or all of the Wire content may be used by media with attribution to the East-West Center or the person quoted. To receive East-West Center Wire media releases via email, subscribe here. For links to all East-West Center media programs, fellowships and services, see www.eastwestcenter.org/journalists. Explore search All Programs All Regions All Topics Release Date Filters Reset filters East-West Wire Tagline News, Commentary, and Analysis Home EWC Feeds East-West Wire The East-West Wire is a news, commentary, and analysis service provided by the East-West Center in Honolulu. Any part or all of the Wire content may be used by media with attribution to the East-West Center or the person quoted. To receive East-West Center Wire media releases via email, subscribe here. For links to all East-West Center media programs, fellowships and services, see www.eastwestcenter.org/journalists. Explore search All Programs All Regions All Topics Release Date Filters Reset filters Full Article
allen Proteas face challenges as they adapt to Highveld conditions By www.iol.co.za Published On :: Mon, 11 Nov 2024 17:35:13 GMT Full Article
allen South Africans urged to embrace water conservation measures amid water challenges By www.iol.co.za Published On :: Mon, 11 Nov 2024 19:02:58 GMT Full Article
allen Unesco report finds Grade 3 maths tests not challenging enough By www.iol.co.za Published On :: Tue, 12 Nov 2024 08:38:24 GMT Full Article
allen ICTSI to challenge KZN High Court ruling halting Durban port contract By www.iol.co.za Published On :: Tue, 12 Nov 2024 09:56:06 GMT Full Article
allen Sierra Leone: Challenge to Constitutional Review By Published On :: Thu, 01 May 2014 17:12:00 GMT One of the issues being debated in Sierra Leone, as the country undergoes a constitutional review, is whether to keep in place presidential term limits. Full Article
allen Israeli NGO hosts event for fallen immigrant soldiers, security personnel in Middle East war By www.jpost.com Published On :: Wed, 13 Nov 2024 01:02:29 GMT During the event, an exhibition presented the fallen soldiers and presented their personal stories, and a ceremony was held in their memory with the participation of the bereaved families. Full Article IDF Diaspora Jews NGO Fallen soldiers
allen Africa: 'AI is No Panacea, But If It Can Help With Africa's Challenges, We Should Be Open-Minded' By allafrica.com Published On :: Tue, 12 Nov 2024 11:39:48 GMT [allAfrica] Cape Town -- allAfrica's Juanita Williams and Joy Basu, U.S. Deputy Assistant Secretary of State in the Bureau of African Affairs, overseeing Economics and Regional Affairs across Sub-Saharan Africa, discussed the work of the Digital Transformation With Africa (DTA) project, which is coming up for its two-year anniversary in December 2024, how DTA chooses its partners, and how AI is not a panacea for the challenges the continent faces. Basu is in Cape Town for the Africa Tech Festival, and Williams spoke with her Full Article Africa Economy Business and Finance Climate Environment External Relations Governance Health and Medicine ICT and Telecom Industry and Infrastructure United States Canada and Africa
allen Amid Great Challenges, Hope Reigns As More Children Reached with Education Support By www.ipsnews.net Published On :: Thu, 19 Sep 2024 09:15:04 +0000 Amid unprecedented global challenges and a growing list of countries in crisis, there is an existential threat to decades of development gains—with the global community marked by intensified armed conflict, forced displacements, and the debilitating effects of climate crises. One in five children worldwide lives in or flees from conflict. The number of crisis-affected children […] Full Article Aid Armed Conflicts Climate Change Development & Aid Editors' Choice Education Featured Gender Global Headlines Human Rights Humanitarian Emergencies Migration & Refugees Sustainable Development Goals TerraViva United Nations Youth Education Cannot Wait (ECW) IPS UN Bureau IPS UN Bureau Report
allen Governance, Competitiveness, and Growth: The Challenges for Bangladesh By www.adb.org Published On :: Different governance dimensions in Bangladesh are significantly and positively related to its economic development, however, the quality of governance has remained low. Full Article Publications/Papers and Briefs
allen Infrastructure Challenges in South Asia: The Role of Public-Private Partnerships By www.adb.org Published On :: South Asian private sector participation in infrastructure development is examined, and recommendations are made for future development. Full Article Publications/Papers and Briefs