lt DPH Oral Health Screening Programs Support Students’ Healthy Smiles and Expand Access to Dental Care By news.delaware.gov Published On :: Tue, 29 Oct 2024 14:25:27 +0000 Kindergarteners across Delaware are participating in the Kindergarten Oral Health Screening Program for the first time this school year, provided by the Bureau of Oral Health and Dental Services (BOHDS) within the Delaware Division of Public Health (DPH). This new annual program is intended to improve the oral health of Delaware children and prepare them for […] Full Article Delaware Health and Social Services Division of Public Health News Bureau of Oral Health and Dental Services Delaware Department of Education Delaware Division of Public Health Delaware Healthy Children Program Delaware Medicaid Delaware Smile Check Program Kindergarten Oral Health Screening Program Nick Conte
lt Open Enrollment on Delaware’s Health Insurance Marketplace Starts Nov. 1 By news.delaware.gov Published On :: Fri, 01 Nov 2024 20:12:46 +0000 The open enrollment period will run through Jan. 15, 2025. Delawareans can renew existing coverage or sign up for a new plan at www.HealthCare.gov. Coverage for enrollees who sign up by Dec. 15 and pay their first month’s premium will be effective Jan. 1. Full Article Delaware Health and Social Services Insurance Commissioner News Delaware Health Insurance Marketplace Delaware Insurance Commissioner Department of Health and Social Services Health Insurance Marketplace open enrollment
lt 1539 Health Education Teacher By regulations.delaware.gov Published On :: Thu, 03 Oct 2024 11:37:59 EDT DEPARTMENT OF EDUCATION: Professional Standards Board Full Article final
lt Uttering Of Unpleasant Words Against Woman Not Insult To Modesty: Court By www.ndtv.com Published On :: Wed, 06 Nov 2024 10:35:33 +0530 The Kerala High Court has quashed a criminal case against film director Sreekumar Menon who was accused by a prominent Malayalam actress of abusing and defaming her. Full Article
lt CloudWalker 55 Inches 4K Ultra HD Smart LED Screen (55SUA7) Review By www.digit.in Published On :: 2023-09-22T13:09:00+05:30 Read the in depth Review of CloudWalker 55 Inches 4K Ultra HD Smart LED Screen (55SUA7) TV. Know detailed info about CloudWalker 55 Inches 4K Ultra HD Smart LED Screen (55SUA7) configuration, design and performance quality along with pros & cons, Digit rating, verdict based on user opinions/feedback. Full Article TV
lt Boult Audio Airbass Livebuds Review By www.digit.in Published On :: 2023-09-22T13:09:00+05:30 Read the in depth Review of Boult Audio Airbass Livebuds Audio Video. Know detailed info about Boult Audio Airbass Livebuds configuration, design and performance quality along with pros & cons, Digit rating, verdict based on user opinions/feedback. Full Article Audio Video
lt Hisense 55 inches Ultra HD 4K Smart LED TV(55A71F) Review By www.digit.in Published On :: 2023-09-22T13:09:00+05:30 Read the in depth Review of Hisense 55 inches Ultra HD 4K Smart LED TV(55A71F) TV. Know detailed info about Hisense 55 inches Ultra HD 4K Smart LED TV(55A71F) configuration, design and performance quality along with pros & cons, Digit rating, verdict based on user opinions/feedback. Full Article TV
lt Akai 43-inch Full-HD Fire TV Edition (AKLT43S-DFS6T) Review By www.digit.in Published On :: 2023-09-22T13:09:00+05:30 Read the in depth Review of Akai 43-inch Full-HD Fire TV Edition (AKLT43S-DFS6T) TV. Know detailed info about Akai 43-inch Full-HD Fire TV Edition (AKLT43S-DFS6T) configuration, design and performance quality along with pros & cons, Digit rating, verdict based on user opinions/feedback. Full Article TV
lt Samsung Galaxy S21 Ultra 5G Review By www.digit.in Published On :: 2023-09-22T13:09:00+05:30 Read the in depth Review of Samsung Galaxy S21 Ultra 5G Mobile Phones. Know detailed info about Samsung Galaxy S21 Ultra 5G configuration, design and performance quality along with pros & cons, Digit rating, verdict based on user opinions/feedback. Full Article Mobile Phones
lt Xiaomi Mi 11 Ultra Review By www.digit.in Published On :: 2023-09-22T13:09:00+05:30 Read the in depth Review of Xiaomi Mi 11 Ultra Mobile Phones. Know detailed info about Xiaomi Mi 11 Ultra configuration, design and performance quality along with pros & cons, Digit rating, verdict based on user opinions/feedback. Full Article Mobile Phones
lt AmazonBasics 55 inches 4K Ultra HD Smart LED TV (AB55U20PS) Review By www.digit.in Published On :: 2023-09-22T13:09:00+05:30 Read the in depth Review of AmazonBasics 55 inches 4K Ultra HD Smart LED TV (AB55U20PS) TV. Know detailed info about AmazonBasics 55 inches 4K Ultra HD Smart LED TV (AB55U20PS) configuration, design and performance quality along with pros & cons, Digit rating, verdict based on user opinions/feedback. Full Article TV
lt Sony 65 inch 4K Ultra HD Smart TV (KD-65X80J) Review By www.digit.in Published On :: 2023-09-22T13:09:00+05:30 Read the in depth Review of Sony 65 inch 4K Ultra HD Smart TV (KD-65X80J) TV. Know detailed info about Sony 65 inch 4K Ultra HD Smart TV (KD-65X80J) configuration, design and performance quality along with pros & cons, Digit rating, verdict based on user opinions/feedback. Full Article TV
lt Mi Notebook Ultra Review By www.digit.in Published On :: 2023-09-22T13:09:00+05:30 Read the in depth Review of Mi Notebook Ultra Laptops. Know detailed info about Mi Notebook Ultra configuration, design and performance quality along with pros & cons, Digit rating, verdict based on user opinions/feedback. Full Article Laptops
lt Boult Audio Airbass Soulpods Review By www.digit.in Published On :: 2023-09-22T13:09:00+05:30 Read the in depth Review of Boult Audio Airbass Soulpods Audio Video. Know detailed info about Boult Audio Airbass Soulpods configuration, design and performance quality along with pros & cons, Digit rating, verdict based on user opinions/feedback. Full Article Audio Video
lt Samsung Galaxy S23 Ultra 5G Review By www.digit.in Published On :: 2023-09-22T13:09:00+05:30 Read the in depth Review of Samsung Galaxy S23 Ultra 5G Mobile Phones. Know detailed info about Samsung Galaxy S23 Ultra 5G configuration, design and performance quality along with pros & cons, Digit rating, verdict based on user opinions/feedback. Full Article Mobile Phones
lt OnePlus Q Series 4K Ultra HD QLED (65 Q2 Pro) Review By www.digit.in Published On :: 2023-09-22T13:09:00+05:30 Read the in depth Review of OnePlus Q Series 4K Ultra HD QLED (65 Q2 Pro) TV. Know detailed info about OnePlus Q Series 4K Ultra HD QLED (65 Q2 Pro) configuration, design and performance quality along with pros & cons, Digit rating, verdict based on user opinions/feedback. Full Article TV
lt Nu 65 inch Ultra HD (4K) Smart TV ( LED65UWA1) Review By www.digit.in Published On :: 2023-09-22T13:09:00+05:30 Read the in depth Review of Nu 65 inch Ultra HD (4K) Smart TV ( LED65UWA1) TV. Know detailed info about Nu 65 inch Ultra HD (4K) Smart TV ( LED65UWA1) configuration, design and performance quality along with pros & cons, Digit rating, verdict based on user opinions/feedback. Full Article TV
lt Motorola Razr 40 Ultra Review By www.digit.in Published On :: 2023-09-22T13:09:00+05:30 Read the in depth Review of Motorola Razr 40 Ultra Mobile Phones. Know detailed info about Motorola Razr 40 Ultra configuration, design and performance quality along with pros & cons, Digit rating, verdict based on user opinions/feedback. Full Article Mobile Phones
lt Samsung Galaxy Book3 Ultra - This gaming laptop is force to reckon! By www.digit.in Published On :: 2023-02-01T19:24+05:30 Full Article videoDefault
lt Closing data gaps to promote integrated health care By blogs.sas.com Published On :: Thu, 05 Nov 2020 17:05:53 +0000 Learn why integrating EHR data with pharmacy and claims data improves patient care. The post Closing data gaps to promote integrated health care appeared first on The Data Roundtable. Full Article Uncategorized data integration data quality health
lt Alternative data may feel risky: Here's why it's not By blogs.sas.com Published On :: Tue, 02 Nov 2021 13:02:59 +0000 Some businesses overlook alternative data. That's probably a mistake. The post Alternative data may feel risky: Here's why it's not appeared first on The Data Roundtable. Full Article Uncategorized alternative data data-driven business risk modeling
lt 6,791 Power Connections Provided In Delhi On Lt Governor's Intervention By www.ndtv.com Published On :: Tue, 12 Nov 2024 21:36:55 +0530 Power discoms have provided electricity connections to 6,791 of the 10,802 applicants living in Delhi's unauthorised colonies following Lieutenant Governor V K Saxena's intervention, the Raj Niwas said on Tuesday. Full Article
lt DUSU Election Results To Be Announced On November 21 By www.ndtv.com Published On :: Wed, 13 Nov 2024 11:57:32 +0530 The results for the Delhi University Students' Union elections will be declared on November 21, almost two months after the polls were held, according to university officials. Full Article
lt All About Kamala Harris: Life, Family, Wealth And Her Impact On US Politics By www.ndtv.com Published On :: Sat, 02 Nov 2024 22:43:27 +0530 Kamala Devi Harris was born on October 20, 1964, in Oakland, California, to immigrant parents. Full Article
lt Mike Waltz: The Combat Veteran Turned National Security Adviser By www.ndtv.com Published On :: Tue, 12 Nov 2024 11:36:41 +0530 US President-elect Donald Trump has named Mike Waltz, a Congressman from Florida and co-chair of the India Caucus, as the new National Security Adviser. Full Article
lt Stock market today: Trade setup for Nifty 50 to Q2 results today; five stocks to buy or sell on Wednesday — Nov 13 | Stock Market News - Mint By news.google.com Published On :: Wed, 13 Nov 2024 00:55:29 GMT Stock market today: Trade setup for Nifty 50 to Q2 results today; five stocks to buy or sell on Wednesday — Nov 13 | Stock Market News MintSensex, Nifty50 decline for 5th straight session; now down over 9% from peak MintIndices trade with deep cuts; auto shares decline for 5th day Business StandardStock Market LIVE Updates: Nifty at 23,700, Sensex tanks 520 pts; auto, metal, realty major drag MoneycontrolGIFT Nifty down 45 points; here's the trading setup for today's session The Economic Times Full Article
lt Save up to 50% with the TicWatch Pro 3 Ultra! By phandroid.com Published On :: Tue, 12 Nov 2024 21:22:59 +0000 The TicWatch Pro 3 Ultra packs some nifty features, in addition to impressive battery endurance. The post Save up to 50% with the TicWatch Pro 3 Ultra! appeared first on Phandroid. Full Article Deals Devices amazon deals TicWatch Pro 3 Ultra
lt Millions Of Teflon Particles Are Mixed With Your Food While Cooking On Teflon-Coated Pan! (Research Results) By trak.in Published On :: Tue, 06 Dec 2022 07:17:37 +0000 There is a shocking revelation by scientists who are studying the surface of a Teflon-coated pan. As per the scientists, thousands to millions of ultra-small Teflon plastic particles may be released during cooking as non-stick pots and pans gradually lose their coating. As per the new study published in the journal Science of the Total […] Full Article Business teflon teflon coated pan
lt Interesting Details Of iPhone 15 Ultra Revealed: Find Out Design, Specs, USPs & More By trak.in Published On :: Wed, 07 Dec 2022 05:47:52 +0000 Apple 14 is barely out of the box and features and rumors of the Apple 15 series are already making rounds of the internet. The newest reports have revealed that the iPhone 15 Pro Max is to be replaced by the brand-new iPhone 15 Ultra. With the iPhone 15 series, the corporation is also said […] Full Article Apple iPhone 15 iphone 15 ultra
lt Beat The Burden Of Medical Inflation With A Health Insurance By trak.in Published On :: Thu, 08 Dec 2022 06:39:42 +0000 As disease rates rise and medical technology develops, treatment costs climb. It’s essential to understand that medical costs are not exclusively associated with hospitals. The cost of prescription drugs, diagnostic procedures, ambulance and operating room fees, consultations with doctors, and other costs are also constantly increasing. All of them could put a big strain on […] Full Article Business health insurance
lt EV Ultimo launches platform in the Electric Vehicles ecosystem By evultimo.com Published On :: EV Ultimo launches platform to assist brands, buyers, stakeholders in the Electric Vehicles ecosystem Full Article
lt Operation Shanela Yielded Good Results in the Joe Gqabi District By allafrica.com Published On :: Tue, 12 Nov 2024 10:30:56 GMT [SAPS] SAPS members' continued efforts to prevent and detect crime yielded the following successes within the Joe Gqabi District as part of Operation Shanela during the week and start of the weekend . Full Article Legal and Judicial Affairs South Africa Southern Africa
lt Cosatu Is Deeply Concerned By Government's Withdrawal of the SABC Soc Ltd Bill From Parliament By allafrica.com Published On :: Tue, 12 Nov 2024 07:58:37 GMT [COSATU] The Congress of South African Trade Unions (COSATU) is deeply concerned by the Minister for Communications and Digital Technologies, Mr. S. Malatsi's sudden withdrawal of the South African Broadcasting Corporation (SABC) SOC Ltd Bill from Parliament where it was being engaged upon by the National Assembly's Portfolio Committee: Communications and Digital Technologies. Full Article Economy Business and Finance Governance Labour South Africa Southern Africa
lt Locking When Emulating Xtensa LX Multi-Core on a Xilinx FPGA By community.cadence.com Published On :: Mon, 30 Sep 2024 16:00:00 GMT Today's high-performance computing systems often require the designer to instantiate multiple CPU or DSP cores in their subsystem. However, the performance gained by using multiple CPUs comes with additional programming complexity, especially when accessing shared memory data structures and hardware peripherals. CPU cores need to access shared data in an atomic fashion in a multi-core environment. Locking is the most basic requirement for data sharing. A core takes the lock, accesses the shared data structure, and releases the lock. While one core has the lock, other cores are disallowed from accessing the same data structure. Typically, locking is implemented using an atomic read-modify-write bus transaction on a variable allocated in an uncached memory. This blog shares the AXI4 locking mechanism when implementing an Xtensa LX-based multi-core system on a Xilinx FPGA platform. It uses a dual-core design mapped to a KC705 platform as an example. Exclusive Access to Accomplish Locking The Xtensa AXI4 manager provides atomic access using the AXI4 atomic access mechanism. While Xtensa's AXI manager interface generates an exclusive transaction, the subordinate's interface is also expected to support exclusive access, i.e., AXI monitoring. Xilinx BRAM controller's AXI subordinate interface does not support exclusive access, i.e., AXI monitoring: AXI Feature Adoption in Xilinx FPGAs. Leveraging Xtensa AXI4 Subordinate Exclusive Access The Xtensa LX AXI subordinate interface supports exclusive access. One approach is to utilize this support and allocate locks in one of the core's local data memories. Ensure that the number of external exclusive managers is configured, typically to the number of cores (Figure 1). Figure 1 Note that the Xtensa NX AXI subordinate interface does not support exclusive access. For an Xtensa NX design, shared memory with AXI monitoring is required. In Figure 2, the AXI_crossbar#2 (block in green) routes core#0's manager AXI access (blue connection) to both core's local memories. Core#1's manager AXI (yellow connection) can also access both core's local memories. Locks can be allocated in either core's local data memory. In-Bound Access on Subordinate Interface On inbound access, the Xtensa AXI subordinate interface expects a local memory address, i.e., an external entity needs to present the same address as the core would use to access local memory in its 4GB address space. AXI address remap IP (block in pink) translates the AXI system address to each core's local address. For example, assuming locks are allocated in core#0's local memory, core#1 generates an AXI exclusive to access a lock allocated in core#0's local memory (yellow connection). AXI_crossbar#2 forwards transaction to M03_AXI port (green connection). AXI_address_remap#1 translates the AXI system address to the local memory address before presenting it to core#0's AXI subordinate interface (pink connection). It is possible to configure cores with disjoint local data memory addresses and avoid the need for an address remap IP block. But then it will be a heterogeneous multi-core design with a multi-image build. An address remap IP is required to keep things simple, i.e., a homogeneous multi-core with a single image build. A single image uses a single memory map. Therefore, both cores must have the same view of a lock, i.e., the lock's AXI bus address must be the same for both. Figure 2 AXI ID Width Note Xtensa AXI manager interface ID width=4 bits. Xtensa's AXI subordinate interface ID width=12 bits. So, you must configure AXI crossbar#2 and AXI address remap AXI ID width higher than 4. AXI IDs on a manager port are not globally defined; thus, an AXI crossbar with multiple manager ports will internally prefix the manager port index to the ID and provide this concatenated ID to the subordinate device. On return of the transaction to its manager port of origin, this ID prefix will be used to locate the manager port, and the prefix will be truncated. Therefore, the subordinate port ID is wider in bits than the manager port ID. Figure 3 shows the Xilinx crossbar IP AXI ID width configuration. Figure 3 Software Tools Support Cadence tools provide a way to place locks at a specific location. For more details, please refer to Cadence's Linker Support Packages (LSP) Reference Manual for Xtensa SDK. .xtos.lock(green) resides in core#0's local memory and holds user-defined and C library locks. The lock segment memory attribute is defined as shared inner (cyan) so that L32EX and S32EX instructions generate an exclusive transaction on an AXI bus. See Figure 4. The stack and per-core Xtos and C library contexts are allocated in local data memory (yellow). …………..LSP memory map………….BEGIN dram00x40000000: dataRam : dram0 : 0x8000 : writable ; dram0_0 : C : 0x40000400 - 0x40007fff : STACK : .dram0.rodata .clib.percpu.data .rtos.percpu.data .dram0.data .clib.percpu.bss .rtos.percpu.bss .dram0.bss;END dram0…………………BEGIN sysViewDataRam00xA0100000: system : sysViewDataRam0 : 0x8000 : writable, uncached, shared_inner; lockRam_0 : C : 0xA0100000 - 0xA01003ff : .xtos.lock;END sysViewDataRam0………….. Figure 4 Please visit the Cadence support site for more information on emulating Xtensa cores on FPGAs. Full Article AXI Tensilica Xtensa FPGA
lt How to create multiple shapes of same port in innovus? By community.cadence.com Published On :: Tue, 23 Apr 2024 13:28:46 GMT LEF allows the same port with multiple shape definitions. Does anybody know if innovus can create multiple duplicate shapes associated with the same port? Assume they are connected outside the block with perfect timing synchronization. Thank you! Full Article
lt Tempus ECO initial setup summary not matching timing report results By community.cadence.com Published On :: Sat, 29 Jun 2024 01:51:01 GMT We are currently setting up the Tempus flow and have ran into some mismatched data regarding ECO and timing reports. I generated a timing report before running ECO and saw six total setup violations. When running opt_signoff -setup, the initial setup summary that was printed in the shell only showed one violation. I can see that violation from the initial setup summary in my pre-ECO timing report and it is not the worst path. Upon further investigation, I forced the tool to try to fix setup on one of the other five violations from the timing report using the opt_signoff_select_setup_endpoints attribute and the tool said that the endpoint had positive slack and would be ignored. Has anyone experienced something like this before? Full Article
lt read from text file with two values and represent that as voltage signals on two different port a and b By community.cadence.com Published On :: Fri, 24 Feb 2023 00:33:01 GMT i want to read from text file two values on two ports , i wrote that code, and i have that error that shown in the image below . and also the data in text file is shown as screenshot module read_file (a,b); electrical a,b;integer in_file_0,data_value, valid, count0,int_value; analog begin @(initial_step) begin in_file_0 = $fopen("/home/hh1667/ee610/my_library/read_file/data2.txt","r"); valid = $fscanf (in_file_0, "%b,%b" ,int_value,count0); end V(a) <+ int_value; V(b) <+ count0; end endmodule Full Article
lt USB4 Interoperability with Thunderbolt™︎ 3 (TBT3) Systems By community.cadence.com Published On :: Mon, 26 Sep 2022 14:43:00 GMT One of the key goals for USB4 is to retain compatibility with the existing ecosystem of USB3.2, USB 2.0 and Thunderbolt products, and the resulting connection scales to the best mutual capability of the devices being connected. USB4 is designed to work with older versions of USB and Thunderbolt . USB4 Fabric support high throughput interconnects of 10 Gbps (for Gen 2) and 20 Gbps (for Gen 3) and supports Thunderbolt 3-compatible rates of 10.3125 Gbps (for Gen 2) and 20.625 Gbps (for Gen 3). It becomes very important to verify the Thunderbolt backward compatibility with the designs. Though the support of USB4 Interoperability with Thunderbolt 3 (TBT3) is optional in USB4 host or USB4 peripheral device and required USB4 Hub and USB4 Based Dock but it is very essential to work in the existing ecosystem. Few Main features of USB4 Interoperability with Thunderbolt 3 (TBT3) Systems Support for Bi-Directional Pins & Retimers: TBT3 Active Cables can contain two bidirectional Re-timers which have the capability to send AT Responses on its RX channel. Router connected directly to such Retimer needs to support A Router that is connected directly to a bidirectional Re-timer shall support reception of Transactions on both TX and RX channels. Bounce Mechanism: This feature is used by Router to access the Register Space of a Cable Re-timer that can only be accessed by its Link Partner. Asymmetric Negotiation: The Router which connects with Cable Retimers needs to follow Asymmetric TxFFE in Phase 5 of Lane Initialization. USB4 Link Transitions: In TBT3 mode, the configuration of two independent Single Lane Links can be used non-transient state or Single Lane Link just using the Lane1 Adapter. Cadence has a mature USB4 Verification IP solution that can help in the verification of USB4 designs with TBT3. Cadence has taken an active part in the Cairo group that defined the USB4 specification and has created a comprehensive Verification IP that is being used by multiple members. If you plan to have a USB4-compatible design, you can reduce the risk of adopting new technology by using our proven and mature USB4 Verification IP. Please contact your Cadence local account team, for more details. Full Article Verification IP USB4 VIP usb4 usb4 router
lt The Mechanical Side of Multiphysics System Simulation By community.cadence.com Published On :: Tue, 03 Sep 2024 22:45:00 GMT Introduction Multiphysics is an integral part of the concepts around digital twins. In this post, I want to discuss the mechanical aspects of multiphysics in system simulations, which are critical for 3D-IC, multi-die, and chiplet design. The physical world in which we live is growing ever more electrified. Think of the transformation that the cell phone has brought into our lives, as has the present-day migration to electronic vehicles (EVs). These products are not only feats of electronic engineering but of mechanical as well, as the electronics find themselves in new and novel forms such as foldable phones and flying cars (eVOTLs). Here, engineering domains must co-exist and collaborate to bring about the best end products possible. Start with the electronics—chips, chiplets, IC packaging, PCB, and modules. But now put these into a new form factor that can be dropped or submerged in water or accelerated along a highway. What about drop testing, aerodynamics, and aeroacoustics? These largely computational fluid dynamics (CFD) and/or mechanical multiphysics phenomena must also be accounted for. And then how does the drop testing impact the electrical performance? The world of electronics and its vast array of end products is pushing us beyond pure electrical engineering to be more broadly minded and develop not only heterogeneous products but heterogeneous engineering teams as well. Cadence's Unique Expertise It's at this crossroad of complexity and electronic proliferation that Cadence shines. Let's take, for example, the latest push for higher-performing high-bandwidth memory (HBM) devices and AI data center expansion. These technologies are growing from several layers to 12, and I can't emphasize enough the importance of teamwork and integrated solutions in tackling the challenges of advanced packaging technologies and how collaboration is shaping the future of semiconductor innovation and paving the way for cutting-edge developments in the industry. These layered electronics are powered, and power creates heat. Heat needs to be understood, and thus, the thermal integrity issues uncovered along the way must be addressed. However, electronic thermal issues are just the first domino in a chain of interdependencies. What about the thermal stress and warpage that can be caused by the powering of these stacked devices? How does that then lend to mechanical stress and even material fatigue as the temperature cycles from high to low and back through the use of the electronic device? This is just one example in a long list of many... Cadence Multiphysics Analysis Offerings The confluence of electrical, mechanical, and CFD is exactly why Cadence expanded into multiphysics at a significant rate starting in 2019 with the announcement of the Clarity 3D Solver and Celsius Thermal Solver products for electromagnetic (EM) and thermal multiphysics system simulations. Recent acquisitions of Numeca, Pointwise, and Cascade (now branded within Cadence as the Fidelity CFD Platform) as well as Future Facilities (now the Cadence Reality Digital Twin product line) are all adding CFD expertise. The recent addition of Beta CAE brings mechanical multiphysics to the suite of solutions available from Cadence. The full breadth of these multiphysics system analyses, spanning EM, thermal, signal integrity/power integrity (SI/PI), CFD, and now mechanical, creates a platform for digital twinning across a wide array of applications. You can learn more by viewing Cadence's Reality Digital Twin platform launch on the keynote stage at NVIDIA's GTC in March, as well as this Designed with Cadence video: NV5, NVIDIA, and Cadence Collaboration Optimizes Data Centers. Conclusion Ever more sophisticated electronic designs are in demand to fulfill the needs of tomorrow's technologies, driving a convergence of electrical and mechanical aspects of multiphysics in system simulations. To successfully produce the exciting new products of the future, both domains must be able to collaborate effectively and efficiently. Cadence is fully committed to developing and providing our customers with the software products they need to enable this electrical/mechanical evolution. From EM, to thermal, to SI/PI, CFD, and mechanical, Cadence is enabling digital twinning across a wide array of applications that are forging pathways to the future. For more information on Cadence's multiphysics system analysis offerings, visit our webpage and download our brochure. Full Article EM Analysis data center system simulation Thermal Analysis multiphysics
lt Using Voltus IC Power Integrity to Overcome 3D-IC Design Challenges By community.cadence.com Published On :: Tue, 08 Oct 2024 06:12:00 GMT Power network design and analysis of 3D-ICs is a major challenge due to the complex nature and large size of the power network. In addition, designers must deal with the complexity of routing power through the interposer, multiple dies, through-silicon vias (TSVs), and through-dielectric vias (TDVs). Cadence’s Integrity 3D-IC Platform and Voltus IC Power Integrity Solution provide a fully integrated solution for early planning and analysis of 3D-IC power networks, 3D-IC chip-centric power integrity signoff, and hierarchical methods that significantly improve capacity and performance of power integrity (PI) signoff while maintaining a very high level of accuracy at signoff. This blog summarizes the typical design challenges faced by today’s 3D-IC designers, as discussed in our recent webinar, “Addressing 3D-IC Power Integrity Design Challenges.” Please click here to view the full webinar. Major Trends in Advanced Chip Design From chips to chiplets, stacked die, 3D-ICs, and more, three major trends are impacting advanced semiconductor packaging design. The first is heterogenous integration, which we define as a disaggregated approach to designing systems on chip (SoCs) from multiple chiplets. This approach is similar to system-in-package (SiP) design, except that instead of integrating multiple bare die – including 3D stacking – on a single substrate, multiple IPs are integrated in the form of chiplets on a single substrate. The second major trend is around new silicon manufacturing techniques that leverage silicon vias (TSVs) and high-density fanout RDL. These advancements mean that silicon is becoming a more attractive material for packaging, especially when high bandwidth and form factor become key attributes in the end design. This brings new design and verification challenges to most packaging engineers who typically work with organic and ceramic substrate materials. Finally, on the ecosystem side, all the large semiconductor foundries now offer their own versions of advanced packaging. This brings new ways of supporting design teams with technologies like reference flows and PDKs, concepts that have typically been lacking in the packaging community. Cadence has worked with many of the leading foundries and outsourced semiconductor assembly and test facilities (OSATs) to develop multi-chip(let) packaging reference flows and package assembly design kits. The downside is that, with the time restrictions designers are under today, there isn’t enough time to simulate the details of these flows and PDKs further. For those who must make the best electro/thermal/physical decisions to achieve the best power/performance/area/cost (PPAC), factors can include accurate die size estimations, thermal feasibility, die-to-die interconnect planning, interposer planning (silicon/organic), front-to-front and front-to-back (F2F/F2B) planning, layer stack and electromigration/ IR drop (EMIR)/TSV planning, IO bandwidth feasibility, and system-level architecture selection. 3D-IC Power Network Design and Analysis The key to success in 3D-IC design is early power integrity planning and analysis. Cadence’s Integrity 3D-IC platform is a high-capacity 3D-IC platform that enables 3D design planning, implementation, and system analysis in a single, unified cockpit. Cadence’s Voltus IC Power Integrity Solution is a comprehensive full chip electromigration, IR drop, and power analysis solution. With its fully distributed architecture and hierarchical analysis capabilities, Voltus provides very fast analysis and has the capacity to handle the largest designs in the industry. Typically, 3D-IC PDN design and analysis is performed in four phases, as shown in Figure 1. Phase 1 - Perform early power delivery network (PDN) exploration with each fabric’s PDN cascaded in system PI with early circuit models. Phase 2 – Plan 3D-IC PDNs in Cadence’s Integrity 3D-IC platform, including micro bumps, TSVs, and through dielectric vias (TDVs), power grid synthesis for dies, and early rail analysis and optimization. Phase 3 – Perform full chip-centric signoff in Voltus with detailed die, interposer, and package models, including chip die models, while keeping some dies flat. Phase 4 – Perform full system-level signoff with Cadence’s Sigrity SystemPI using detailed extracted package models from Sigrity XtractIM, board models from Sigrity PowerSI or Clarity 3D Solver, interposer models from XtractIM or Voltus, and chip power models from Voltus. Figure 1. 3D-IC PDN design and analysis phases 3D-IC Chip-Centric Signoff The integration of Integrity 3D-IC and Voltus enables chip-centric early analysis and signoff. Figure 2 and Figure 3 highlight the chip centric early PI optimization and signoff flows. In early analysis, the on-chip power networks are synthesized, and the micro bumps and TSVs can be placed and optimized. In the signoff stage, all the detailed design data is used for power analysis, and detailed models are extracted and used for package, interposer, and on-die power networks. Figure 2. Early chip-centric PI analysis and optimization flow Figure 3. Chip-centric 3D-IC PI signoff Hierarchical 3D-IC PI Analysis To improve the capacity and performance of 3D-IC PI analysis, Voltus enables hierarchical analysis using chiplet models. Chiplet models can be reduced chip models in spice format or more accurate xPGV models which are highly accurate proprietary models generated by Voltus. With xPGV models, the hierarchical PI analysis has almost the same accuracy as flat analysis but offers 10X or higher benefit in runtime and memory requirements. Conclusion This blog has highlighted the major design trends enabled by advanced 3D packaging and the design challenges arising from these advancements. The design of power delivery networks is one of these major challenges. We have discussed Cadence solutions to overcome this PI challenge. To learn more, view our recent webinar, "Addressing 3D-IC Power Integrity Design Challenges" and visit the Voltus web page. Full Article PDN 3D-IC Integrity Power Integrity in-design analysis Sigrity Clarity 3D Solver
lt Multiple touch points for bond wires on a die pin By community.cadence.com Published On :: Mon, 27 Nov 2023 21:46:03 GMT Does anyone know whether it is possible to have multiple contact points for a bond wire on a large die pad? Note: This is different from adding multiple wires which I will also be doing. I need to add multiple bond connections to the same large die pad for redundancy connections to each pad for each wire. I have a large die pad which I need to have 5 wires with each wire having 3 bond connections to the same die pad. Full Article
lt Simulating Multiple Cadence DSPs as Multiple x86 Processes By community.cadence.com Published On :: Thu, 31 Oct 2024 21:00:00 GMT An increasing number of embedded designs are multi-core systems. At the pre-silicon stage, customers use a simulation platform for architectural exploration and software development. Architects want to quantify the impact of the number of cores, local memory size, system memory latency, and interconnect bandwidth. Software teams wish to have a practical development platform that is not excruciatingly slow. This blog shares a recipe for simulating Cadence DSPs in a multi-core design as separate x86 processes. The purpose is to reduce simulation time for customers with simple multi-core models where cores interact only through shared memory. It uses a Vision Q8 multi-core design to share details of the XTSC (Xtensa SystemC) model, software application, commands, and debugging. Note the details shared are for a simulation run on an Ubuntu Linux machine, Xtensa tools version RI-2023.11, and core configuration XRC_Vision_Q8_AODP. Complex vs. Simple Model A complex model (Figure 1) is one in which one core accesses another core's local memory, or there are inter-core interrupts. Simulation runs as a single x86 process. Figure 1 A simple model (Figure 2) is one in which cores interact only through shared memory. Shared memory is a file on the Linux host. Figure 2 Multiple x86 Process – Simple Model As depicted in Figure 3, each core is simulated using a separate x86 process. Cores use barriers and locks placed in shared memory for synchronization and data sharing. Locks are placed in un-cached memory that support exclusive subordinate access. The XTSC memory component, xtsc_memory , supports exclusive subordinate access. Cadence software tools provide a way to define memory regions as cached or uncached. For more details, please refer to Cadence's Linker Support Packages (LSP) Reference Manual for Xtensa SDK . Figure 3 Demo Application A demo application performs a 128x128 matrix multiplication. Work is divided so that each of the 32 cores computes four rows of the 128x128 result matrix. Cores use barriers to synchronize. Cadence tools provide APIs for synchronization and locking. Please refer to Cadence's System Software Reference Manual for more details. Note without a higher-level lock, prints from all cores will get mixed up. Therefore, in the demo application, only core#0 prints. SystemC Simulation The following sample command runs the 32-core simulation in such a way that each core is a separate x86 process. It runs a matrix multiplication application in cycle-accurate mode with logging off. >>for (( N=0; N >xtsc-run -define=NumCores=32 -define=N=0 -define=LOGGING=0 -define=TURBO=0 --xxdebug=sync -i=coreNN.inc -sc_main=sc_main.cpp -no_sim Modify the sc_main.cpp generated for core#0 to create a generic sc_main.cpp to build a single simulation executable for all cores. The Xtensa SDK includes Makefile targets to build custom simulations. By default, the simulation runs in cycle-accurate mode. Fast functional (Turbo) mode provides additional improvement over cycle-accurate mode. Note that the fast functional mode has an initialization phase, so gains are visible only when running an application with longer run times. Simulation Wall Time The table captures simulation wall time improvements. Note that these are illustrative wall time numbers. Actual wall time numbers and improvements will depend on your host machine's performance and your application. Simulation Type Wall Time Comments Single process cycle accurate mode 17500 seconds Multiple x86 processes cycle accurate mode 1385 seconds 12X faster than single process Multiple x86 processes turbo mode 415 seconds 3X faster than cycle accurate mode Debugging Attaching a debugger to each of the individual x86 core simulation processes is possible. Synchronous stop/resume and core-specific breakpoints are also supported. Configure the Xplorer launch configuration and attach it to the running simulation processes as follows (Figure 5) Figure 5 Figure 6 shows 32 debug contexts. Figure 6 As shown, using Xtensa SDK, you can create a multi-core simulation that functions as a practical software development platform. Please visit the Cadence support site for information on building and simulating multi-core Xtensa systems. Full Article
lt Using troubles about LT4417 By community.cadence.com Published On :: Mon, 26 Jun 2017 09:07:10 GMT Hello~ As the following circuit shows, VCC+5V_USB is the 4th power source, connecting the output of power management of diode.There are 3 5V input in the input port of LTC4417. It’s normal when VCC+5V_USB prodive power with other circuit. However, if I cup VCC+5V_FIRST,VCC+5V_SECOND,VCC+5V_THIRD, 5V voltage will occurred in the VCC+5V_FIRST,VCC+5V_SECOND,VCC+5V_THIRD. The LTC4417 PDF Is this phenomance normal ? Please kindly give me some advice ! Thanks. Full Article
lt The default location of orCAD Capture library Pin Number is incorrect By community.cadence.com Published On :: Tue, 14 Dec 2021 21:38:21 GMT The default position of the pin number is incorrect. Full Article
lt How to Set Up a Config View to Easily Switch Between Schematic and Calibre of DUT for Multiple Testbenches? By community.cadence.com Published On :: Tue, 12 Nov 2024 16:22:53 GMT Hello everyone, I hope you're all doing well. I’ve set up two testbenches (TB1 and TB2) for my Design Under Test (DUT) using Cadence IC6.1.8-64b.500.21 tools, as shown in the attached figure. The DUT has multiple views available: schematic, Calibre, Maestro, and Symbol, and each testbench uses the same DUT in different scenarios. Currently, I have to manually switch between these views, but I would like to streamline this process. My goal is to use a single config view that allows me to switch between the schematic and the extracted (Calibre) views. Ideally, I would like to have a configuration file where making changes once would update both testbenches (TB1 and TB2) automatically. In other words, when I modify one config, both testbenches should reflect this update for a single simulation run. I would really appreciate it if you could guide me on the following: How to create a config view for my DUT that can be used to easily switch between the schematic and extracted views, impacting both TB1 and TB2. Where to specify view priorities or other settings to control which view is used during simulation. Best practices for using a config file in this scenario, so that it ensures consistency across multiple testbenches. Please refer to the attached figure to get a better understanding of the setup I’m using, where both TB1 and TB2 include the same DUT with multiple available views. Thank you so much for your time and assistance! Full Article
lt incorrect output of multiplication in jaspergold By community.cadence.com Published On :: Fri, 16 Feb 2024 10:02:22 GMT I want to use jaspergold to formally verify functionality of my custom multiplier. I am computing the expected result using A*B to check against output of my multiplier. Here, A and B are two logic signed operands. However, jaspergold is performing the operation A*B incorrectly. I have reproduced this issue using the attached example. JasperGold compiles and elaborates the module and subsequently runs a formal proof. The tool raises a counterexample to assertion whose screenshot is attached below: I simulated the same example using xrun and it was giving the correct product output in simvision waveform. Please help me resolve this issue. I am using 2023.03 version of Jasper Apps. Thanks and regards Anubhav Agarwal Full Article
lt Using Xcelium, xrun -nogui option, where are the simulation results By community.cadence.com Published On :: Thu, 29 Feb 2024 18:23:56 GMT I'm completely new to Cadence. I've been able to run a very simple simulation with the -gui option. Simvision opens, I add the variables to the waveform viewer, and press run. All is good. I don't understand the flow when using the -nogui option. It appears that the simulation runs and returns control to the OS. When I launch Simvision, is there a database or file that I can open to display the already-simulated data? My command is of the form: xrun -gui -64bit -sv -access +rwc -top tb_top.sv <src files> Full Article
lt vManager crashes when analyzing multiple sessions simultaneously with a fatal error detected by the Java Runtime Environment By community.cadence.com Published On :: Sat, 16 Mar 2024 04:34:41 GMT When analyzing multiple sessions simultaneously Verisium Manager crashed and reported below error messages: # A fatal error has been detected by the Java Runtime Environment: # # SIGSEGV (0xb) at pc=0x00007efc52861b74, pid=14182, tid=18380 # # JRE version: OpenJDK Runtime Environment Temurin-17.0.3+7 (17.0.3+7) (build 17.0.3+7) # Java VM: OpenJDK 64-Bit Server VM Temurin-17.0.3+7 (17.0.3+7, mixed mode, sharing, tiered, compressed oops, compressed class ptrs, g1 gc, linux-amd64) # Problematic frame: # C [libucis.so+0x238b74] ...... For more details please refer to the attached log file "hs_err_pid21143.log". Two approaches were tried to solve this problem but neither has worked. Method.1: Setting larger heap size of Java process by "-memlimit" options.For example "vmanager -memlimit 8G". Method.2: Enlarging stack memory size limit of the Coverage engine by setting "IMC_NATIVE_STACKSIZE" environment variable to a larger value. For example "setenv IMC_NATIVE_STACKSIZE 1024000" According to "hs_err_pid*.log" it is almost certain that the memory overflow triggered Java's CrashOnOutOfMemoryError and caused Verisium Manager to crash. There are some arguments about memory management of Java like "Xms, Xmx, ThreadStackSize, Xss5048k etc" and maybe this problem can be fixed by setting these arguments during analysis. However, how exactly does Verisium Manager specify these arguments during analysis? I tried to set them by the form of setting environment variables before analysis but it didn't work in analysis and their values didn't change. Is there something wrong with my operation or is there a better solution? Thank you very much. Full Article
lt [Xcelium][xrun] Simulate with multiple builds By community.cadence.com Published On :: Sun, 05 May 2024 06:21:52 GMT I want to do a 2-step build->simulate as follow: 1. Make multiple builds using xrun -elaborate [other options]. The purpose is to create multiple builds with different compile-time macros (+define+MACROA +define+MACROB=ABC). Each build is located in a different directory. 2. Run simulation with xrun -r. This is where I need help. How do I specify which build to simulate? Also, I need the simulation directory (with log files, …) to be different than the build directory. Has anyone been able to achieve this or similar solutions? Full Article
lt Macro for multiple-value when definitions By community.cadence.com Published On :: Wed, 31 Oct 2007 08:23:28 GMT The two macros below introduce new syntax for adding definitions to more than one 'when' determinant value at the same time. The first macro overloads 'extend' keyword and the second is the equivalent for 'when' keyword.A use example:extend [HUGE, BIG] packet { // definitions that pertain to these subtypes};The above code would be expanded in the following (naive) way:extend HUGE packet { // definitions that pertain to these subtypes };extend BIG packet { // definitions that pertain to these subtypes }; The macros code:define 'statement> "extend ['name>,...] 'name> ({;...})" as computed { for each in 'names> do { result = appendf("%sextend %s %s %s;",result,it,'name>,); }; result = appendf("{%s}",result); // required only for versions 6.1.1 or earlier};define 'struct_member> "when ['name>,...] 'name> ({;...})" as computed { for each in 'names> do { result = appendf("%swhen %s %s %s;",result,it,'name>,); }; result = appendf("{%s}",result); // required only for versions 6.1.1 or earlier};Originally posted in cdnusers.org by matanvax Full Article