ip Nicaraguan Cordoba Oro(NIO)/Philippine Peso(PHP) By www.fx-exchange.com Published On :: Sat May 9 2020 16:21:53 UTC 1 Nicaraguan Cordoba Oro = 1.4677 Philippine Peso Full Article Nicaraguan Cordoba Oro
ip Netherlands Antillean Guilder(ANG)/Philippine Peso(PHP) By www.fx-exchange.com Published On :: Sat May 9 2020 16:21:53 UTC 1 Netherlands Antillean Guilder = 28.1278 Philippine Peso Full Article Netherlands Antillean Guilder
ip Estonian Kroon(EEK)/Philippine Peso(PHP) By www.fx-exchange.com Published On :: Sat May 9 2020 16:21:52 UTC 1 Estonian Kroon = 3.5404 Philippine Peso Full Article Estonian Kroon
ip Danish Krone(DKK)/Philippine Peso(PHP) By www.fx-exchange.com Published On :: Sat May 9 2020 16:21:52 UTC 1 Danish Krone = 7.3384 Philippine Peso Full Article Danish Krone
ip Fiji Dollar(FJD)/Philippine Peso(PHP) By www.fx-exchange.com Published On :: Sat May 9 2020 16:21:51 UTC 1 Fiji Dollar = 22.4119 Philippine Peso Full Article Fiji Dollar
ip New Zealand Dollar(NZD)/Philippine Peso(PHP) By www.fx-exchange.com Published On :: Sat May 9 2020 16:21:51 UTC 1 New Zealand Dollar = 30.9937 Philippine Peso Full Article New Zealand Dollar
ip Croatian Kuna(HRK)/Philippine Peso(PHP) By www.fx-exchange.com Published On :: Sat May 9 2020 16:21:50 UTC 1 Croatian Kuna = 7.2774 Philippine Peso Full Article Croatian Kuna
ip Peruvian Nuevo Sol(PEN)/Philippine Peso(PHP) By www.fx-exchange.com Published On :: Sat May 9 2020 7:57:03 UTC 1 Peruvian Nuevo Sol = 14.8557 Philippine Peso Full Article Peruvian Nuevo Sol
ip [Cross Country] Cross Country Runs Well Last Meet Before A.I.I. Championship Meet By www.haskellathletics.com Published On :: Tue, 29 Oct 2019 14:25:00 -0600 Haskell Cross Country teams traveled to Mount Mercy in Iowa this past Saturday and performed well a week before A.I.I. Championship Meet on Saturday 11/9/19. Full Article
ip [Cross Country] A.I.I. Cross Country Championship Meet Concludes with Two of Haskell Runners ... By www.haskellathletics.com Published On :: Sat, 09 Nov 2019 18:40:00 -0600 Full Article
ip [Cross Country] Haskell Runs National Championships Meet with 335 Other Runners By www.haskellathletics.com Published On :: Fri, 22 Nov 2019 15:50:00 -0600 Full Article
ip Dominican Peso(DOP)/Philippine Peso(PHP) By www.fx-exchange.com Published On :: Sat May 9 2020 16:21:46 UTC 1 Dominican Peso = 0.9174 Philippine Peso Full Article Dominican Peso
ip [Men's Outdoor Track & Field] Haskell Set to Host MCAC Track and Field Championships By www.haskellathletics.com Published On :: Mon, 21 Apr 2014 21:15:00 -0600 Haskell will play host to the 2014 Midlands Collegiate Athletic Conference Outdoor Track and Field Championships on April 25th and 26th. Full Article
ip Papua New Guinean Kina(PGK)/Philippine Peso(PHP) By www.fx-exchange.com Published On :: Sat May 9 2020 16:21:46 UTC 1 Papua New Guinean Kina = 14.7199 Philippine Peso Full Article Papua New Guinean Kina
ip Brunei Dollar(BND)/Philippine Peso(PHP) By www.fx-exchange.com Published On :: Sat May 9 2020 16:21:45 UTC 1 Brunei Dollar = 35.7294 Philippine Peso Full Article Brunei Dollar
ip SemiEngineering Article: Why IP Quality Is So Difficult to Determine By feedproxy.google.com Published On :: Fri, 07 Jun 2019 19:53:00 GMT Differentiating good IP from mediocre or bad IP is getting more difficult, in part because it depends upon how and where it is used and in part, because even the best IP may work better in one system than another—even in chips developed by the same vendor. So, how do you measure IP quality and why it is so complicated? The answer depends on who is asking. Most of the time, the definition of IP quality depends on your vantage point. If you are an R&D manager, IP quality means something. If you are a global supply manager, IP quality means something else. If you are an SoC start-up, your measure of quality is quite different from that of an established fabless company. If you are designing IP in-house, then your considerations are very different than being a commercial IP vendor. If you are designing an automotive SoC, then we are in a totally different category. How about as an IP vendor? How do you articulate IP quality metrics to your customers? This varies greatly by the type of IP, as well. When it comes to interface (hard) IP and controllers, if you are an R&D manager, your goal is to design IP that meets the IP specifications and PPA (power, performance, and area) targets. You need to validate your design via silicon test chips. This applies to all hard PHYs, which must be mapped to a particular foundry process. For controllers that are in RTL form—we called these soft IP—you have to synthesize them into a particular target library in a particular foundry process in order to realize them in a physical form suitable for SoC integration. Of course, your design will need to go through a series of design validation steps via simulation, design verification and passing the necessary DRC checks, etc. In addition, you want to see the test silicon in various process corners to ensure the IP is robust and will perform well under normal process variations in the production wafers. For someone in IP procurement, the measure of quality will be based on the maturity of the IP. This involves the number of designs that have been taped out using this IP and the history of bug reports and subsequent fixes. You will be looking for quality of the documentation and the technical deliverables. You will also benchmark the supplier’s standard operating procedures for bug reporting and technical support, as well as meeting delivery performance in prior programs. This is in addition to the technical teams doing their technical diligence. An in-house team that is likely to design IP for a particular SoC project will be using an established design flow and will have legacy knowledge of last generation’s IP. They may be required to design the IP with some reusability in mind for future programs. However, such reusability requirements will not need to be as stringent and as broad as those of commercial IP vendors because there are likely to be established metrics and procedures in place to follow as part of the design team’s standard operating procedures. Many times, new development based on a prior design that has been proven in use will be started, given this stable starting point. All of these criteria help the team achieve a quality outcome more easily. Then, if designing for an automotive SoC, additional heavy lifting is required. Aside from ensuring that the IP meets the specifications of the protocol standards and passes the compliance testing, you also must pay attention to meeting functional safety requirements. This means adherence to ISO 26262 requirements and subsequently achieving ASIL certification. Oftentimes, even for IP, you must perform some AEC-Q100-related tests that are relevant to IP, such as ESD, LU, and HTOL. To read more, please visit: https://semiengineering.com/why-ip-quality-is-so-difficult-to-determine/ Full Article IP cadence IP blocks Automotive Ethernet ip cores Tensilica semiconductor IP Design IP and Verification IP
ip Is the Role of Test Chips Changing at Advanced Foundry Nodes? By feedproxy.google.com Published On :: Mon, 15 Jul 2019 17:53:00 GMT Test chips are becoming more widespread and more complex at advanced process nodes as design teams utilize early silicon to diagnose problems prior to production. But this approach also is spurring questions about whether this approach is viable at 7nm and 5nm, due to the rising cost of prototyping advanced technology, such as mask tooling and wafer costs. Semiconductor designers have long been making test chips to validate test structures, memory bit cells, larger memory blocks, and precision analog circuits like current mirrors, PLLs, temperature sensors, and high-speed I/Os. This has been done at 90nm, 65nm, 40nm, 32nm, 28nm, etc., so having test chips at 16nm, 7nm, or finer geometries should not be a surprise. Still, as costs rise, there is debate about whether those chips are over-used given advancements in tooling, or whether they should be utilized even more, with more advanced diagnostics built into them. Modern EDA tools are very good. You can simulate and validate almost anything with certain degree of accuracy and correctness. The key to having good and accurate tools and accurate results (for simulation) is the quality of the foundry data provided. The key to having good designs (layouts) is that the DRC deck must be of high quality and accurate and must catch all the things you are not supposed to do in the layout. Most of the challenges in advanced node is in the FEOL where semiconductor physics and lithography play outsize roles. Issues that were not an issue at more mature nodes can manifest themselves as big problems at 7nm or 5nm. Process variation across the wafer and variation across a large die also present problems that were of no consequence in more mature nodes. The real questions to be asked are as follows: What is the role of test chips in SoC designs? Do all hard IP require test chips for validation? Are test chips more important at advanced nodes compared to more mature nodes? Is the importance of test chip validation relative to the type of IP protocols? What are the risks if I do not validate in silicon? In complex SoC designs, there are many high-performance protocols such as LPDDR4/4x PHY, PCIe4 PHY, USB3.0 PHY, 56G/112G SerDes, etc. Each one of these IP are very complex in and by itself. If there is any chance of failure that is not detected prior to SoC (tapeout) integration, the cost of retrofit is huge. This is why the common practice is to validate each one of these complex IP in silicon before committing to use such IP in chip integration. The test chips are used to validate that the IP are properly designed and meet the functional specifications of the protocols. They are also used to validate if sufficient margins are designed into the IP to mitigate variances due to process tolerances. All high-performance hard IP go through this test chip/silicon validation process. Oftentimes, marginality is detected at this stage. In advanced nodes, it is also important to have the test chips built under different process corners. This is intended to simulate process variations in production wafers so as to maximize yields. Advanced protocols such as 112G, GDDR6, HBM2, and PCIe4 are incredibly complex and sensitive to process variations. It is almost impossible to design these circuits and try to guarantee their performance without going through the test chip route. Besides validating performance of the IP protocols, test silicon is also used to validate robustness of ESD structures, sensitivity to latch up, and performance degradation over wide temperature ranges. All these items are more critical in advanced nodes than more mature modes. Test chips are vehicles to guarantee design integrity in bite-size chunks. It is better to deal with any potential issues in smaller blocks than to try to fix them in the final integrated SoC. Test chips will continue to play a vital role in helping IP and SoC teams lower the risk of their designs, and assuring optimal quality and performance in the foreseeable future. They are not going away! To read more, please visit https://semiengineering.com/test-chips-play-larger-role-at-advanced-nodes/ Full Article Design IP IP cadence PCIe Gen4 IP integration ip cores Ethernet semiconductor IP PCI Express
ip For this Brave New World of cricket, we have IPL and England to thank By feedproxy.google.com Published On :: 2019-07-13T23:50:53+00:00 This is the 24th installment of The Rationalist, my column for the Times of India. Back in the last decade, I was a cricket journalist for a few years. Then, around 12 years ago, I quit. I was jaded as hell. Every game seemed like déjà vu, nothing new, just another round on the treadmill. Although I would remember her fondly, I thought me and cricket were done. And then I fell in love again. Cricket has changed in the last few years in glorious ways. There have been new ways of thinking about the game. There have been new ways of playing the game. Every season, new kinds of drama form, new nuances spring up into sight. This is true even of what had once seemed the dullest form of the game, one-day cricket. We are entering into a brave new world, and the team leading us there is England. No matter what happens in the World Cup final today – a single game involves a huge amount of luck – this England side are extraordinary. They are the bridge between eras, leading us into a Golden Age of Cricket. I know that sounds hyperbolic, so let me stun you further by saying that I give the IPL credit for this. And now, having woken up you up with such a jolt on this lovely Sunday morning, let me explain. Twenty20 cricket changed the game in two fundamental ways. Both ended up changing one-day cricket. The first was strategy. When the first T20 games took place, teams applied an ODI template to innings-building: pinch-hit, build, slog. But this was not an optimal approach. In ODIs, teams have 11 players over 50 overs. In T20s, they have 11 players over 20 overs. The equation between resources and constraints is different. This means that the cost of a wicket goes down, and the cost of a dot ball goes up. Critically, it means that the value of aggression rises. A team need not follow the ODI template. In some instances, attacking for all 20 overs – or as I call it, ‘frontloading’ – may be optimal. West Indies won the T20 World Cup in 2016 by doing just this, and England played similarly. And some sides began to realise was that they had been underestimating the value of aggression in one-day cricket as well. The second fundamental way in which T20 cricket changed cricket was in terms of skills. The IPL and other leagues brought big money into the game. This changed incentives for budding cricketers. Relatively few people break into Test or ODI cricket, and play for their countries. A much wider pool can aspire to play T20 cricket – which also provides much more money. So it makes sense to spend the hundreds of hours you are in the nets honing T20 skills rather than Test match skills. Go to any nets practice, and you will find many more kids practising innovative aggressive strokes than playing the forward defensive. As a result, batsmen today have a wider array of attacking strokes than earlier generations. Because every run counts more in T20 cricket, the standard of fielding has also shot up. And bowlers have also reacted to this by expanding their arsenal of tricks. Everyone has had to lift their game. In one-day cricket, thus, two things have happened. One, there is better strategic understanding about the value of aggression. Two, batsmen are better equipped to act on the aggressive imperative. The game has continued to evolve. Bowlers have reacted to this with greater aggression on their part, and this ongoing dialogue has been fascinating. The cricket writer Gideon Haigh once told me on my podcast that the 2015 World Cup featured a battle between T20 batting and Test match bowling. This England team is the high watermark so far. Their aggression does not come from slogging. They bat with a combination of intent and skills that allows them to coast at 6-an-over, without needing to take too many risks. In normal conditions, thus, they can coast to 300 – any hitting they do beyond that is the bonus that takes them to 350 or 400. It’s a whole new level, illustrated by the fact that at one point a few days ago, they had seven consecutive scores of 300 to their name. Look at their scores over the last few years, in fact, and it is clear that this is the greatest batting side in the history of one-day cricket – by a margin. There have been stumbles in this World Cup, but in the bigger picture, those are outliers. If England have a bad day in the final and New Zealand play their A-game, England might even lose today. But if Captain Morgan’s men play their A-game, they will coast to victory. New Zealand does not have those gears. No other team in the world does – for now. But one day, they will all have to learn to play like this. © 2007 IndiaUncut.com. All rights reserved. India Uncut * The IU Blog * Rave Out * Extrowords * Workoutable * Linkastic Full Article
ip DAC 2015: Lip-Bu Tan, Cadence CEO, Sees Profound Changes in Semiconductors and EDA By feedproxy.google.com Published On :: Thu, 11 Jun 2015 18:46:00 GMT As a leading venture capitalist in the electronics technology, as well as CEO of Cadence, Lip-Bu Tan has unique insights into ongoing changes that will impact EDA providers and users. Tan shared some of those insights in a “fireside chat” with Ed Sperling, editor in chief of Semiconductor Engineering, at the Design Automation Conference (DAC 2015) on June 9. Topics of this discussion included industry consolidation, the need for more talent and more startups, Internet of Things (IoT) opportunities and challenges, the shift from ICs to full product development, and the challenges of advanced nodes. Following are some excerpts from this conversation, held at the DAC Pavilion theater on the exhibit floor. Ed Sperling (left) and Lip-Bu Tan (right) discuss trends in semiconductors and EDA Q: As you look out over the semiconductor and EDA industries these days, what worries you most? Tan: At the top of my list is all the consolidation that is going on. Secondly, chip design complexity is increasing substantially. Time-to-market pressure is growing and advanced nodes have challenges. The other thing I worry about is that we need to have more startups. There’s a lot of innovation that needs to happen. And this industry needs more top talent. At Cadence, we have a program to recruit over 10% of new hires every year from college graduates. We need new blood and new ideas. Q: EDA vendors were acquiring companies for many years, but now the startups are pretty much gone. Where does the next wave of innovation come from? Tan: I’ve been an EDA CEO for the last seven years and I really enjoy it because so much innovation is needed. System providers have very big challenges and very different needs. You have to find the opportunities and go out and provide the solutions. The opportunities are not just in basic tools. Massive parallelism is critical, and the power challenge is huge. Time to market is critical, and for the IoT companies, cost is going to be critical. If you want to take on some good engineering challenges, this is the most exciting time. Q: You live two lives—you’re a CEO but you’re also an investor. Where are the investments going these days and where are we likely to see new startups? Tan: Clearly everybody is chasing the IoT. There is a lot of opportunity in the cloud, in the data center. Also, I’m a big believer in video, so I back companies that are video related. A big area is automotive. ADAS [Advanced Driver Assistance Systems] is a tremendous opportunity. These companies can help us understand how the industry is transforming, and then we can provide solutions, either in terms of IP, tools, or the PCB. Then we need to connect from the system level down to semiconductors. I think it’s a different way to design. Q: What happens as we start moving from companies looking to design a semiconductor to system companies who are doing things from the perspective that we have this purpose for our software? Tan: We are extending from EDA to what we call system design enablement, and we are becoming more application driven. The application at the system level will drive the silicon design. We need to help companies look at the whole system including the power envelope and signal integrity. You don’t want to be in a position where you design a chip all the way to fabrication and then find the power is too high. We help the customers with hardware/software co-design and co-verification. We have a design suite and a verification suite that can provide customers with high-level abstractions, as well as verify IP blocks at the system level. Then we can break things down to the component level with system constraints in mind, and drive power-aware, system-aware design. We are starting to move into vertical markets. For example, medical is a tremendous opportunity. Q: How does this approach change what you provide to customers? Tan: Every year I spend time meeting with customers. I think it is very important to understand what they are trying to design, and it is also important to know the customer’s customer requirements. We might say, “Wait a minute, for this design you may want to think about power or the library you’re using.” We help them understand what foundry they should use and what process they should use. They don’t view me as a vendor—they view me as a partner. We also work very closely with our IP and foundry partners. We work as one team—the ultimate goal is customer success. Q: Is everybody going to say, FinFETs are beautiful, we’re going to go down to 10nm or 7nm—or is it a smaller number of companies who will continue down that path? Tan: Some of the analog/mixed-signal companies don’t need to go that far. We love those customers—we have close to 50% of that business. But we also have customers in the graphics or processor area who are really pushing the envelope, and need to be in 16nm, 14nm, or 10nm. We work very closely with those guys to make sure they can go into FinFETs. We always want to work with the customer to make sure they have a first-time silicon success. If you have to do a re-spin, you miss the opportunity and it’s very costly. Q: There’s a new market that is starting to explode—IoT. How real is that world to you? Everyone talks about large numbers, but is it showing up in terms of tools? Tan: Everybody is talking about huge profits, but a lot of the time I think it is just connecting old devices that you have. Billions of units, absolutely yes, but if you look close enough the silicon percentage of that revenue is very tiny. A lot of the profit is on the service side. So you really need to look at the service killer app you are trying to provide. What’s most important to us in the IoT market is the IP business. That’s why we bought Tensilica—it’s programmable, so you can find the killer app more quickly. The other challenges are time to market, low power, and low cost. Q: Where is system design enablement going? Does it expand outside the traditional market for EDA? Tan: It’s not just about tools. IP is now 11% of our revenue. At the PCB level, we acquired a company called Sigrity, and through that we are able to drive system analysis for power, signal integrity, and thermal. And then we look at some of the verticals and provide modeling all the way from the system level to the component level. We make sure that we provide a solution to the end customer, rather than something piecemeal. Q: What do you think DAC will look like in five years? Tan: It’s getting smaller. We need to see more startups and innovative IP solutions. I saw a few here this year, and that’s good. We need to encourage small startups. Q: Where do we get the people to pull this off? I don’t see too many people coming into EDA. Tan: I talk to a lot of university students, and I tell them that this small industry is a gold mine. A lot of innovation is needed. We need them to come in [to EDA] rather than join Google or Facebook. Those are great companies, but there is a lot of fundamental physical innovation we need. Richard Goering Related Blog Posts - Gary Smith at DAC 2015: How EDA Can Expand Into New Directions - DAC 2015: Google Smart Contact Lens Project Stretches Limits of IC Design - Q&A with Nimish Modi: Going Beyond Traditional EDA Full Article Ed Sperling DAC cadence IoT EDA Lip-Bu Tan Semiconductor Design Automation Conference
ip Measuring Rapid IP3 By feedproxy.google.com Published On :: Tue, 28 Nov 2017 06:54:00 GMT In the world of analog design, IP3—the third order intercept point, is a known parameter that is used to measure the linearity in the radio frequency (RF) components. The extracted IP3 values are very essential to determine the operating power ...(read more) Full Article RF Simulation Rapid IP3 spectreRF
ip Triple Beat Analysis: What, Why & How? By feedproxy.google.com Published On :: Thu, 30 Nov 2017 09:04:00 GMT The Triple Beat analysis is similar to Rapid IP2/IP3 analysis except that it uses three tones instead of two. It is used in cases where two closely-spaced small-signal inputs from a transmitter leak in to the receiver along with an intended small-signal RF input signal. (read more) Full Article Virtuoso ADE Virtuoso Spectre RF design
ip Multiple commands using ipcBeginProcess By feedproxy.google.com Published On :: Mon, 27 Apr 2020 14:37:17 GMT Hi, I am trying to use "sed -e 's " from SKILL code to edit unix file "FileA", to replace 3 words in the 2nd line. How to run below multiple commands using ipcBeginProcess, Should I use ipcWait or ipcCloseProcess ? Using && to combine , will that work as I have to work serially on each command. ? With below code only the first command gets executed. Please advise. FileA="/user/tmp/text1.txt" sprintf(Command1 "sed -e '2s/%s/%s/g' %s > %s" comment1 get(form concat("dComment" RDWn))->value FileA FileA) cid = ipcBeginProcess(Command1) sprintf(Command2 "sed -e '2s/%s/%s/g' %s > %s" Time getCurrentTime() FileA FileA) cid1 = ipcBeginProcess(Command2) sprintf(Command3 "sed -e '2s/%s/%s/g' %s > %s" comment2 get(form concat("Duser" RDWn))->value FileA FileA) cid2 = ipcBeginProcess(Command3) Thanks, Ajay Full Article
ip Displaying contents of a modeless dialog box during execution of a SKILL script By feedproxy.google.com Published On :: Tue, 05 May 2020 00:47:02 GMT I have a modeless informational dialog box defined at the beginning of a SKILL script, but its contents don't display until the script finishes. How do you get a modeless dialog box contents to display while a SKILL script is running? procedure(myproc() prog((myvars) hiDisplayAppDBox() ; opens blank dialog box - no dboxText contents show until script completes! ....rest of SKILL code in script...launches child processes );prog );proc Full Article
ip Integration and Verification of PCIe Gen4 Root Complex IP into an Arm-Based Server SoC Application By feedproxy.google.com Published On :: Thu, 16 Aug 2018 22:17:00 GMT Learn about the challenges and solutions for integrating and verification PCIe(r) Gen4 into an Arm-Based Server SoC. Listen to this relatively short webinar by Arm and Cadence, as they describe the collaboration and results, including methodology and...(read more) Full Article
ip Chiplet Interface for Heterogeneous SiP By feedproxy.google.com Published On :: Thu, 17 Oct 2019 07:38:18 GMT https://community.cadence.com/cadence_blogs_8/b/breakfast-bytes/posts/cowos-info I came across cadence old article that discussing about TSMC advance packaging technology such as InFO & CoWoS. However, I couldn’t find information such as what I/O interface standard is required to realize this multi-chip SiP. For example, Intel using their proprietary AIB interface for EMIB solution. Besides, any idea if inFO also able to supports multi-chip integration for older node process to new node process such as 40-nm to 16-nm? Full Article
ip SIP to Allegro pcb designer 17.2 ver By feedproxy.google.com Published On :: Tue, 28 Jan 2020 13:25:18 GMT Iam new to Package design SIP tool. I had created the DIE package using SIP. Kindly give the direction how to map the created DIE package in Allegro pcb editor 17.2 ver. In Allegro design capture CIS tool we had created the schematics file. The DIE which we are using is having 100pins, We had created the DIE in SIP tool. Out of 100 Die pins, only 90 pins is getting connected others are NC pins. We had mapped the Bond fingers only for 90 Die pins in the SIP package. But in the Schematics we had created the DIE logic symbol for 100 pins. Please advice whether we can able to import the DIE package in the allegro tool. In this scenario while importing the 100 pin DIE package in allegro pcb editor will the net connectivity will be shown from the DIE pad to Bond fingers and from Bond fingers to respective components? Please suggest whether we are going in the right path or please advice what we have to proceed with. Thanks in Advance, Rajesh Full Article
ip Three tones IIP3 simulation By feedproxy.google.com Published On :: Wed, 01 Apr 2020 09:52:03 GMT Hi All, I saw the cadence tutorial on measuring IIP3 with 3 tones test (Lets say I have a mixer in the test so two tones are entered in the RF port and one is the LO). Now, I would like to verify if my receiver meets the bluetooth standard. In the standard it says to enter a signal at -64dBm and two additional signals (interference) at -39dBm each which placed one k (lets say k equals to one for the example) channels apart and the other 2k channels apart (so 3 signals enter the RF port). These signals cause an intermodulation product to fall at the frequency of the desired signal. I would like to measure the IIP3 in this case. Now, I need to enter 4 tones and the IIP3 is measured (based on cadence tutorial) using sweep in the hb. I do not want to sweep power since I need to enter exact power. I tried to use multi sinusoidal option in the port with exact power but it does not work. How in general am I be able to check communication standard in this way using virtuoso and measure IIP3? Can someone please help me? Thanks in advance! Full Article
ip Gilbert mixer IIP3 By feedproxy.google.com Published On :: Tue, 07 Apr 2020 06:20:53 GMT Hi all, I am having trouble plotting the IIp3 of gilber RF mixer I made I have plotted 1 dB compression point using QPSS and QPAC simulation. flo=2.42GHz and frf=2.4GHz , 20 MHz IF However my IIp3 simulation shows strange results QPSS and QPAC setup Full Article
ip SKILL script for Subclasses and Artworks By feedproxy.google.com Published On :: Tue, 31 Mar 2020 17:25:18 GMT I have made a customized menu in PCB Editor which I now would like to fill with content. First of all I would like to have commands to add (or delete) layers in the board. I have parameter files (.prm) that describes both the stackup and the artwork for 2, 4, 6 and 8 layers. I guess I could record a script (macro) where I use the "Import Parameter file" dialogue but this will get windows flickering by etc. Can I do this with SKILL instead? I realize that it is possible (somehow) to do a SKILL-script that completely builds up the stackup and artworks for boards with different number of layers but I then have to edit the SKILL everytime I need to change anything. My thinking is that it perhaps is easier just to call the prm-file, which is easy to modify from within Allegro without knowing anything about SKILL. I'm also looking for a solution to remove some Subclasses, containing certain keywords with a SKILL script but since I'm completely new to SKILL I don't really know where to begin. Any assistance would be much appreciated. Full Article
ip How to reload a SKILL-script in Allegro By feedproxy.google.com Published On :: Thu, 02 Apr 2020 06:26:49 GMT I am working on some SKILL scripts which are loaded by allegro.ilinit at startup. If I edit my .il-files how do I get them updated in Allegro? Right now I restart the program but there must be a simpler way. A newbie question, I know... Full Article
ip Breaking a clineseg into multiple segments with SKILL code By feedproxy.google.com Published On :: Fri, 24 Apr 2020 08:44:49 GMT Hello All, May I know if there is a way to breakup a selected clinesegment into a few clinesegments by just using SKILL code Thanks All Full Article
ip For this Brave New World of cricket, we have IPL and England to thank By feedproxy.google.com Published On :: 2019-07-13T23:50:53+00:00 This is the 24th installment of The Rationalist, my column for the Times of India. Back in the last decade, I was a cricket journalist for a few years. Then, around 12 years ago, I quit. I was jaded as hell. Every game seemed like déjà vu, nothing new, just another round on the treadmill. Although I would remember her fondly, I thought me and cricket were done. And then I fell in love again. Cricket has changed in the last few years in glorious ways. There have been new ways of thinking about the game. There have been new ways of playing the game. Every season, new kinds of drama form, new nuances spring up into sight. This is true even of what had once seemed the dullest form of the game, one-day cricket. We are entering into a brave new world, and the team leading us there is England. No matter what happens in the World Cup final today – a single game involves a huge amount of luck – this England side are extraordinary. They are the bridge between eras, leading us into a Golden Age of Cricket. I know that sounds hyperbolic, so let me stun you further by saying that I give the IPL credit for this. And now, having woken up you up with such a jolt on this lovely Sunday morning, let me explain. Twenty20 cricket changed the game in two fundamental ways. Both ended up changing one-day cricket. The first was strategy. When the first T20 games took place, teams applied an ODI template to innings-building: pinch-hit, build, slog. But this was not an optimal approach. In ODIs, teams have 11 players over 50 overs. In T20s, they have 11 players over 20 overs. The equation between resources and constraints is different. This means that the cost of a wicket goes down, and the cost of a dot ball goes up. Critically, it means that the value of aggression rises. A team need not follow the ODI template. In some instances, attacking for all 20 overs – or as I call it, ‘frontloading’ – may be optimal. West Indies won the T20 World Cup in 2016 by doing just this, and England played similarly. And some sides began to realise was that they had been underestimating the value of aggression in one-day cricket as well. The second fundamental way in which T20 cricket changed cricket was in terms of skills. The IPL and other leagues brought big money into the game. This changed incentives for budding cricketers. Relatively few people break into Test or ODI cricket, and play for their countries. A much wider pool can aspire to play T20 cricket – which also provides much more money. So it makes sense to spend the hundreds of hours you are in the nets honing T20 skills rather than Test match skills. Go to any nets practice, and you will find many more kids practising innovative aggressive strokes than playing the forward defensive. As a result, batsmen today have a wider array of attacking strokes than earlier generations. Because every run counts more in T20 cricket, the standard of fielding has also shot up. And bowlers have also reacted to this by expanding their arsenal of tricks. Everyone has had to lift their game. In one-day cricket, thus, two things have happened. One, there is better strategic understanding about the value of aggression. Two, batsmen are better equipped to act on the aggressive imperative. The game has continued to evolve. Bowlers have reacted to this with greater aggression on their part, and this ongoing dialogue has been fascinating. The cricket writer Gideon Haigh once told me on my podcast that the 2015 World Cup featured a battle between T20 batting and Test match bowling. This England team is the high watermark so far. Their aggression does not come from slogging. They bat with a combination of intent and skills that allows them to coast at 6-an-over, without needing to take too many risks. In normal conditions, thus, they can coast to 300 – any hitting they do beyond that is the bonus that takes them to 350 or 400. It’s a whole new level, illustrated by the fact that at one point a few days ago, they had seven consecutive scores of 300 to their name. Look at their scores over the last few years, in fact, and it is clear that this is the greatest batting side in the history of one-day cricket – by a margin. There have been stumbles in this World Cup, but in the bigger picture, those are outliers. If England have a bad day in the final and New Zealand play their A-game, England might even lose today. But if Captain Morgan’s men play their A-game, they will coast to victory. New Zealand does not have those gears. No other team in the world does – for now. But one day, they will all have to learn to play like this. The India Uncut Blog © 2010 Amit Varma. All rights reserved. Follow me on Twitter. Full Article
ip Encryption of IP for Simulation with IES By feedproxy.google.com Published On :: Thu, 12 Dec 2019 16:00:59 GMT I'm sending encrypted HDL to a customer who will use Cadence IES for simulation and was wondering how I should go about the encryption. Does IES support the IEEE's P1735 and if so, where can I find Cadence's public key for performing the encryption? Or is there an alternative solution that I can use for encryption? Full Article
ip Multiple parts for single reference designator By feedproxy.google.com Published On :: Tue, 28 Apr 2020 15:34:37 GMT Variants seem to be defined as present or not present. Is there a variant that can assign different parts to the same reference designator? i.e. R17 can be either 0 ohm 0805 jumper or 12k ohms 0805 resistor. The simplest way I can think of is to use two parts with the same footprint and overlay them. Is there a more functional way of doing this? So that the variant would put the correct part in the BOM and the parts would of course have the same identical footprint. Full Article
ip ce_tools directory no longer shipped with Specman By feedproxy.google.com Published On :: Tue, 22 Apr 2008 08:59:07 GMT Hello All,starting with version 8.1 the contents of the ce_tools directory will no longerbe shipped with Specman. The directory contains some unsupported AE/R&Dware and has not been updated for several releases (i.e. most of those oldpackages don't work with the latest release). Attached is the contents of this directory. Please read the README beforeusing any of the packages.Regards,-hannesOriginally posted in cdnusers.org by hannes Full Article
ip vr_ad_reg_file multiple instance By feedproxy.google.com Published On :: Mon, 30 Aug 2010 11:47:13 GMT Hello All, I have a situation where i want to implement 8 instance of some particular reg_file which all have many reg_def and reg_fld. For example : I have 8 instance of one DUT module (TEST0, TEST1,TEST2... TEST8), since its all are the instance so all the instance will have the sets of registers.. so to implement reg for one instance i can write code like.. extend vr_ad_reg_file_kind : [TEST0]; extend TEST0 vr_ad_reg_file { keep size == 256; }; reg_def EX_REG_TX_DATA TEST0 8’h00 { // name : type : mask : reset value reg_fld data : uint(bits:8) : RW : 0; }; But now the issue is inside 1 instance i have around 256 registers, and i need to implement for all the 8 instance.... so can anyone suggest me how we can make instance for vr_ad_reg_file, otherwise i have to write same code for all the 8 instance. Thanks Full Article
ip Shell Script rc By feedproxy.google.com Published On :: Wed, 02 Jul 2014 13:36:49 GMT Dear I want to automate the synthesis of 100 IP cores so i wrote a small script (see below), but i am stuck on <rc> In easy way, rc seems does not like be called in a shallscript To make it works i need get in each folder, call the rc and then run <souce synth.tcl>Please anyone know how can i by pass the problem ?Thank you so much foreach i(*.C) cd $i rc source synth.tcl cd ..end Full Article
ip Mixing It Up in Hardware (an Advantest Case Study in Faster Full-Chip Simulations) By feedproxy.google.com Published On :: Wed, 19 Nov 2014 18:27:00 GMT Key Findings: Advantest, in mixed-signal SoC design, sees 50X speedup, 25 day test reduced to 12 hours, dramatic test coverage increase. Trolling through the CDNLive archives, I discovered another gem. At the May 2013 CDNLive in Munich, Thomas Henkel and Henriette Ossoinig of Advantest presented a paper titled “Timing-accurate emulation of a mixed-signal SoC using Palladium XP”. Advantest makes advanced electronics test equipment. Among the semiconductor designs they create for these products is a test processor chip with over 100 million logic transistors, but also with lots of analog functions.They set out to find a way to speed up their full-chip simulations to a point where they could run the system software. To do that, they needed about a 50X speed-up. Well, they did it! Figure 1: Advantest SoC Test Products To skip the commentary, read Advantest's paper here. Problem Statement Software is becoming a bigger part of just about every hardware product in every market today, and that includes the semiconductor test market. To achieve high product quality in the shortest amount of time, the hardware and software components need to be verified together as early in the design cycle as possible. However, the throughput of a typical software RTL simulation is not sufficient to run significant amounts of software on a design with hundreds of millions of transistors. Executing software on RTL models of the hardware means long runs (“deep cycles”) that are a great fit for an emulator, but the mixed-signal content posed a new type of challenge for the Advantest team. Emulators are designed to run digital logic. Analog is really outside of the expected use model. The Advantest team examined the pros and cons of various co-simulation and acceleration flows intended for mixed signal and did not feel that they could possibly get the performance they needed to have practical runtimes with software testbenches. They became determined to find a way to apply their Palladium XP platform to the problem. Armed with the knowledge of the essential relationship between the analog operations and the logic and software operations, the team was able to craft models of the analog blocks using reduction techniques that accurately depicted the essence of the analog function required for hardware-software verification without the expense of a continuous time simulation engine. The requirements boiled down to the following: • Generation of digital signals with highly accurate and flexible timing • Complete chip needs to run on Palladium XP platform • Create high-resolution timing (100fs) with reasonable emulation performance, i.e. at least 50X faster than simulation on the fastest workstations Solution Idea The solution approach chosen was to simplify the functional model of the analog elements of the design down to generation of digital signal edges with high timing accuracy. The solution employed a fixed-frequency central clock that was used as a reference.Timing-critical analog signals used to produce accurately placed digital outputs were encoded into multi-bit representations that modeled the transition and timing behavior. A cell library was created that took the encoded signals and converted them to desired “regular signals”. Automation was added to the process by changing the netlisting to widen the analog signals according to user-specified schematic annotations. All of this was done in a fashion that is compatible with debugging in Cadence’s Simvision tool. Details on all of these facets to follow. The Timing Description Unit (TDU) Format The innovative thinking that enabled the use of Palladium XP was the idea of combining a reference clock and quantized signal encoding to create offsets from the reference. The implementation of these ideas was done in a general manner so that different bit widths could easily be used to control the quantization accuracy. Figure 2: Quantization method using signal encoding Timed Cell Modeling You might be thinking – timing and emulation, together..!? Yes, and here’s a method to do it…. The engineering work in realizing the TDU idea involved the creation of a library of cells that could be used to compose the functions that convert the encoded signal into the “real signals” (timing-accurate digital output signals). Beyond some basic logic cells (e.g., INV, AND, OR, MUX, DFF, TFF, LATCH), some special cells such as window-latch, phase-detect, vernier-delay-line, and clock-generator were created. The converter functions were all composed from these basic cells. This approach ensured an easy path from design into emulation. The solution was made parameterizable to handle varying needs for accuracy. Single bit inputs need to be translated into transitions at offset zero or a high or low coding depending on the previous state. Single bit outputs deliver the final state of the high-resolution output either at time zero, the next falling, or the next rising edge of the grid clock, selectable by parameter. Output transitions can optionally be filtered to conform to a configurable minimum pulse width. Timed Cell Structure There are four critical elements to the design of the conversion function blocks (time cells): Input conditioning – convert to zero-offset, optional glitch preservation, and multi-cycle path Transition sorting – sort transitions according to timing offset and specified precedence Function – for each input transition, create appropriate output transition Output filtering – Capability to optionally remove multiple transitions, zero-width, pulses, etc. Timed Cell Caveat All of the cells are combinational and deliver a result in the same cycle of an input transition. This holds for storage elements as well. For example a DFF will have a feedback to hold its state. Because feedback creates combinational loops, the loops need a designation to be broken (using a brk input conditioning function in this case – more on this later). This creates an additional requirement for flip-flop clock signals to be restricted to two edges per reference clock cycle. Note that without minimum width filtering, the number of output transitions of logic gates is the sum of all input transitions (potentially lots of switching activity). Also note that the delay cell has the effect of doubling the number of output transitions per input transition. Figure 3: Edge doubling will increase switching during execution SimVision Debug Support The debug process was set up to revolve around VCD file processing and directed and viewed within the SimVision debug tool. In order to understand what is going on from a functional standpoint, the raw simulation output processes the encoded signals so that they appear as high-precision timing signals in the waveform viewer. The flow is shown in the figure below. Figure 4: Waveform post-processing flow The result is the flow is a functional debug view that includes association across representations of the design and testbench, including those high-precision timing signals. Figure 5: Simvision debug window setup Overview of the Design Under Verification (DUV) Verification has to prove that analog design works correctly together with the digital part. The critical elements to verify include: • Programmable delay lines move data edges with sub-ps resolution • PLL generates clocks with wide range of programmable frequency • High-speed data stream at output of analog is correct These goals can be achieved only if parts of the analog design are represented with fine resolution timing. Figure 6: Mixed-signal design partitioning for verification How to Get to a Verilog Model of the Analog Design There was an existing Verilog cell library with basic building blocks that included: - Gates, flip-flops, muxes, latches - Behavioral models of programmable delay elements, PLL, loop filter, phase detector With a traditional simulation approach, a cell-based netlist of the analog schematic is created. This netlist is integrated with the Verilog description of the digital design and can be simulated with a normal workstation. To use Palladium simulation, the (non-synthesizable) portions of the analog design that require fine resolution timing have to be replaced by digital timing representation. This modeling task is completed by using a combination of the existing Verilog cell library and the newly developed timed cells. Loop Breaking One of the chief characteristics of the timed cells is that they contain only combinational cells that propagate logic from inputs to outputs. Any feedback from a cell’s transitive fanout back to an input creates a combinational loop that must be broken to reach a steady state. Although the Palladium XP loop breaking algorithm works correctly, the timed cells provided a unique challenge that led to unpredictable results. Thus, a process was developed to ensure predictable loop breaking behavior. The user input to the process was to provide a property at the loop origin that the netlister recognized and translated to the appropriate loop breaking directives. Augmented Netlisting Ease of use and flow automation were two primary considerations in creating a solution that could be deployed more broadly. That made creating a one-step netlisting process a high-value item. The signal point annotation and automatic hierarchy expansion of the “digital timing” parameter helped achieve that goal. The netlister was enriched to identify the key schematic annotations at any point in the hierarchy, including bit and bus signals. Consistency checking and annotation reporting created a log useful in debugging and evolving the solution. Wrapper Cell Modeling and Verification The netlister generates a list of schematic instances at the designated “netlister stop level” for each instance the requires a Verilog model with fine resolution timing. For the design in this paper there were 160 such instances. The library of timed cells was created; these cells were actually “wrapper” cells comprised of the primitives for timed cell modeling described above. A new verification flow was created that used the behavior of the primitive cells as a reference for the expected behavior of the composed cells. The testing of the composed cells included had the timing width parameter set to 1 to enable direct comparison to the primitive cells. The Cadence Incisive Enterprise Simullator tool was successfully employed to perform assertion-based verification of the composed cells versus the existing primitive cells. Mapping and Long Paths Initial experiments showed that inclusion of the fine resolution timed cells into the digital emulation environment would about double the required capacity per run. As previously pointed out, the timed cells having only combinational forward paths creates a loop issue. This fact also had the result of creating some such paths that were more than 5,000 steps of logic. A timed cell optimization process helped to solve this problem. The basic idea was to break the path up by adding flip-flops in strategic locations to reduce combinational path length. The reason that this is important is that the maximum achievable emulation speed is related to combinational path length. Results Once the flow was in place, and some realistic test cases were run through it, some further performance tuning opportunities were discovered to additionally reduce runtimes (e.g., Palladium XP tbrun mode was used to gain speed). The reference used for overall speed gains on this solution was versus a purely software-based solution on the highest performance workstation available. The findings of the performance comparison were startlingly good: • On Palladium XP, the simulation speed is 50X faster than on Advantest’s fastest workstation • Software simulation running 25 days can now be run in 12 hours -> realistic runtime enables long-running tests that were not feasible before • Now have 500 tests that execute once in more than 48 hours • They can be run much more frequently using randomization and this will increase test coverage dramatically Steve Carlson Full Article Advantest Palladium Mixed Signal Verification Emulation mixed signal
ip Automatically Reusing an SoC Testbench in AMS IP Verification By feedproxy.google.com Published On :: Thu, 04 Jan 2018 18:10:00 GMT The complexity and size of mixed-signal designs in wireless, power management, automotive, and other fast growing applications requires continued advancements in a mixed-signal verification methodology. An SoC, in these fast growing applications, incorporates a large number of analog and mixed-signal (AMS) blocks/IPs, some acquired from IP providers, some designed, often concurrently. AMS IP must be verified independently, but this is not sufficient to ensure an SoC will function properly and all scenarios of interaction among many different AMS IP blocks at full chip / SoC level must be verified thoroughly. To reduce an overall verification cycle, AMS IP and SoC verification teams must work in parallel from early stages of the design. Easier said than done! We will outline a methodology than can help. AMS designers verify their IP meets required specifications by running a testbench they develop for standalone / out of-context verification. Typically, an AMS IP as analog-centric, hierarchal design in schematic, composed of blocks represented by transistor, HDL and behavioral description verified in Virtuoso® Analog Design Environment (ADE) using Spectre AMS Designer simulation. An SoC verification team typically uses UVM SystemVerilog testbech at full chip level where the AMS IP is represented with a simple digital or real number model running Xcelium /DMS simulation from the command line. Ideally, AMS designers should also verify AMS IP function properly in the context of full-chip integration, but reproducing an often complex UVM SystemVerilog testbench and bringing over top-level design description to an analog-centric environment is not a simple task. Last year, Cadence partnered with Infineon on a project with a goal to automate the reuse of a top-level testbench in AMS verification. The automation enabled AMS verification engineers to automatically configure setup for verification runs by assembling all necessary options and files from the AMS IP Virtuoso GUI and digital SoC top-level command line configurations. The benefits of this method were: AMS verification engineers did not need to re-create complex stimuli representing interaction of their IP at the top level Top-level verification stays external to the AMS IP verification environment and continues to be managed by the SoC verification team, but can be reused by the AMS IP team without manual overhead AMS IP is verified in-context and any inconsistencies are detected earlier in the verification process Improved productivity and overall verification time For more details, please see Infineon’s CDNLlive presentation. Full Article AMS mixed signal design mixed-signal methodology mixed signal solution analog Mixed-Signal analog/mixed-signal Virtuoso environment mixed-signal verification
ip Integrating AMS IP in SoC Verification Just Got Easier By feedproxy.google.com Published On :: Tue, 06 Feb 2018 18:37:00 GMT Typically, analog designers verify their AMS IP in schematic driven, interactive environment, while SoC designers use a UVM SystemVerilog testbench ran from a command line. In our last MS blog, we talked about automation for reusing SystemVerilog testbench by analog designers in order to verify AMS IP in exactly same context as in its SoC integration, hence reducing surprises and unnecessary iterations. But, what about other direction: selecting proper AMS IP views for SoC Verification? Manually export netlist from Virtuoso and then manually assemble together all of the files for use with in command line driven flow? Often, there are multiple views for the same instance (RNM, analog behavioral model, transistor netlist). Which one to pick? Who is supposed to update configuration files? We often work concurrently and update the AMS IP views frequently. Obviously, manually selecting correct and most up-to-date AMS IP views for SoC Verification is tedious and error prone. Thanks to Cadence Innovation, there is a better way! Cadence has developed a Command-Line IP Selector (CLIPS) product as part of the Virtuoso® environment, which: Bridges the gap between MS SoC command-line setup and the Virtuoso-based analog mixed-signal configuration Allows seamless importing of AMS IP from the Virtuoso environment into an existing digital verification setup Provides a GUI-based and command-line use model, flexible to fit into an existing design flow methodologyCLIPS reads MS SoC command (irun) files, identifies required AMS IP modules, uses Virtuoso ADE setup files to properly netlist required modules, and pulls the AMS IP out of the Virtuoso environment. All necessary files are properly extracted/prepared and package as required for the MS SoC command line verification run. CLIPS setup can be saved and rerun as a batch process to ensure the latest IP from the hierarchy is being simulated. For more details, please see CLIPS Rapid Adoption Kit at Cadence Online Support page Full Article AMS mixed signal solution Mixed-Signal analog/mixed-signal Virtuoso mixed signal Virtuoso environment mixed-signal verification
ip News18 Urdu: Latest News Jaipur By urdu.news18.com Published On :: visit News18 Urdu for latest news, breaking news, news headlines and updates from Jaipur on politics, sports, entertainment, cricket, crime and more. Full Article
ip News18 Urdu: Latest News Samastipur By urdu.news18.com Published On :: visit News18 Urdu for latest news, breaking news, news headlines and updates from Samastipur on politics, sports, entertainment, cricket, crime and more. Full Article
ip News18 Urdu: Latest News Udaipur By urdu.news18.com Published On :: visit News18 Urdu for latest news, breaking news, news headlines and updates from Udaipur on politics, sports, entertainment, cricket, crime and more. Full Article
ip News18 Urdu: Latest News Serchhip By urdu.news18.com Published On :: visit News18 Urdu for latest news, breaking news, news headlines and updates from Serchhip on politics, sports, entertainment, cricket, crime and more. Full Article
ip નીતા અંબાણી દ્વારકાધીશના શરણે, IPL મેચમાં ટીમની જીત માટે કરી પ્રાર્થના By gujarati.news18.com Published On :: Monday, April 23, 2018 08:56 AM Full Article
ip #IPL: ‘আমি বুড়ো হয়েছি আমি খুব স্লো’ একথা বলার পর ধোনি যা করলেন, দেখুন ভিডিও By bengali.news18.com Published On :: Full Article
ip RIP Chuni Goswami| প্রয়াত কিংবদন্তি ফুটবলার চুনী গোস্বামী By bengali.news18.com Published On :: Full Article
ip CDS Bipin Rawan: Air Force રવિવારે કોરોના યોદ્ધાઓને સલામ કરવા Flypast કરશે By gujarati.news18.com Published On :: Friday, May 01, 2020 07:39 PM CDS Bipin Rawan: Air Force રવિવારે કોરોના યોદ્ધાઓને સલામ કરવા Flypast કરશે Full Article
ip Astro tips: રોજની આટલી આદતો બદલશો તો ગ્રહોનું મળશે સારું પરિણામ By gujarati.news18.com Published On :: Wednesday, February 12, 2020 10:58 PM જ્યોતિષના જણાવ્યા પ્રમાણે આ પ્રકારની આદતો જીવનમાં સમસ્યાઓ ઊભી કરે છે. આવી સ્થિતિમાં જરૂરી છે કે આપણે રોજિંદી જીંદગીમાં આદતોમાં સુધારો કરવાથી ગ્રહો પણ સારા થશે અને શુભ પરિણામ પણ આપવાનું શરુ કરશે. Full Article
ip Vastu Tips : ઘરમાં આ પાંચ બાબતોનું રાખશો ધ્યાન તો નહીં રહે પૈસાની તંગી By gujarati.news18.com Published On :: Friday, February 14, 2020 08:59 PM વાસ્તુ શાસ્ત્ર પ્રમાણે ઘરમાં ધન સાથે સંકળાયેલી સમસ્યાઓને દૂર કરવા માટે કેટલાક નિયમો છે. આ નિયમોને અપનાવીને ઘરમાં પૈસાની પરેશાની રહેતી નથી. Full Article