por

ViVA XL export to vcsv failed

Exporting a waveform into a vcsv file returns the error:

The wsSaveTraceCommand command generated an exception basic_string::_S_construct null not valid.

Only the first row of the vcsv file is created (";Version, 1, 0"). This was the first time I've exported waveforms generated with Assembler. I had no issue before with the combination of ADE L, Parametric sweep and ViVA XL. My project uses ICADV 12.3. I have not found any related forum entry or documentation. How could I export the waveforms in vcsv? Exporting the values into a table and then exporting into a csv works, but my post-processing script was written for vcsv format.




por

Unable to Import .v files with `define using "Cadence Verilog In" tool

Hello,

I am trying to import multiple verilog modules defined in a single file with "`define" directive in the top using Verilog In. The code below is an example of what my file contains.

When I use the settings below to import the modules into a library, it imports it correctly but completely ignores all `define directive; hence when I simulate using any of the modules below the simulator errors out requesting these variables.

My question: Is there a way to make Verilog In consider `define directives in every module cell created? 

Code to be imported by Cadence Verilog In:

--------------------------------------------------------

`timescale 1ns/1ps
`define PROP_DELAY 1.1
`define INVALID_DELAY 1.3

`define PERIOD 1.1
`define WIDTH 1.6
`define SETUP_TIME 2.0
`define HOLD_TIME 0.5
`define RECOVERY_TIME 3.0
`define REMOVAL_TIME 0.5
`define WIDTH_THD 0.0

`celldefine
module MY_FF (QN, VDD, VSS, A, B, CK);


inout VDD, VSS;
output QN;
input A, B, CK;
reg NOTIFIER;
supply1 xSN,xRN;
buf IC (clk, CK);
and IA (n1, A, B);
udp_dff_PWR I0 (n0, n1, clk, xRN, xSN, VDD, VSS, NOTIFIER);
not I2 (QN, n0);

wire ENABLE_B ;
wire ENABLE_A ;
assign ENABLE_B = (B) ? 1'b1:1'b0;
assign ENABLE_A = (A) ? 1'b1:1'b0;

specify
$setuphold(posedge CK &&& (ENABLE_B == 1'b1), posedge A,  `SETUP_TIME, `HOLD_TIME, NOTIFIER);
$setuphold(posedge CK &&& (ENABLE_B == 1'b1), negedge A, `SETUP_TIME, `HOLD_TIME, NOTIFIER);
$setuphold(posedge CK &&& (ENABLE_A == 1'b1), posedge B, `SETUP_TIME, `HOLD_TIME, NOTIFIER);
$setuphold(posedge CK &&& (ENABLE_A == 1'b1), negedge B, `SETUP_TIME, `HOLD_TIME, NOTIFIER);
$width(posedge CK,1.0,0.0,NOTIFIER);
$width(negedge CK,1.0,0.0,NOTIFIER);
if (A==1'b0 && B==1'b0)
(posedge CK => (QN:1'bx)) = (1.0, 1.0);
if (A==1'b1 && B==1'b0)
(posedge CK => (QN:1'bx)) = (1.0, 1.0);
if (B==1'b1)
(posedge CK => (QN:1'bx)) = (1.0,1.0);

endspecify


endmodule // MY_FF
`endcelldefine

`timescale 1ns/1ps
`celldefine
module MY_FF2 (QN, VDD, VSS, A, B, CK);


inout VDD, VSS;
output QN;
input A, B, CK;
reg NOTIFIER;
supply1 xSN,xRN;
buf IC (clk, CK);
and IA (n1, A, B);
udp_dff_PWR I0 (n0, n1, clk, xRN, xSN, VDD, VSS, NOTIFIER);
not I2 (QN, n0);

wire ENABLE_B ;
wire ENABLE_A ;
assign ENABLE_B = (B) ? 1'b1:1'b0;
assign ENABLE_A = (A) ? 1'b1:1'b0;

specify
$setuphold(posedge CK &&& (ENABLE_B == 1'b1), posedge A,  `SETUP_TIME, `HOLD_TIME, NOTIFIER);
$setuphold(posedge CK &&& (ENABLE_B == 1'b1), negedge A,  `SETUP_TIME, `HOLD_TIME, NOTIFIER);
$setuphold(posedge CK &&& (ENABLE_A == 1'b1), posedge B,  `SETUP_TIME, `HOLD_TIME, NOTIFIER);
$setuphold(posedge CK &&& (ENABLE_A == 1'b1), negedge B,  `SETUP_TIME, `HOLD_TIME, NOTIFIER);
$width(posedge CK,1.0,0.0,NOTIFIER);
$width(negedge CK,1.0,0.0,NOTIFIER);
if (A==1'b0 && B==1'b0)
(posedge CK => (QN:1'bx)) = (1.0, 1.0);
if (A==1'b1 && B==1'b0)
(posedge CK => (QN:1'bx)) = (1.0, 1.0);
if (B==1'b1)
(posedge CK => (QN:1'bx)) = (1.0,1.0);

endspecify


endmodule // MY_FF2
`endcelldefine

--------------------------------------------------------

I am using the following Cadence versions:

MMSIM Version: 13.1.1.660.isr18

Virtuoso Version: IC6.1.8-64b.500.1

irun Version: 14.10-s039

Spectre Version: 18.1.0.421.isr9




por

Importing a capacitor interactive model from manufacturer

Hello,

I am trying to import (in spectre) an spice model of a ceramic capacitor manufactured by Samsung EM. The link that includes the model is here :-

http://weblib.samsungsem.com/mlcc/mlcc-ec.do?partNumber=CL05A156MR6NWR

They proved static spice model and interactive spice model.

I had no problem while including the static model.

However, the interactive model which models voltage and temperature coefficients seems to not be an ordinary spice model. They provide HSPICE, LTSPICE, and PSPICE model files and I failed to include any of them.

Any suggestions ?




por

Virtuoso Meets Maxwell: What About My Die That Has No Bumps, Only Pad Shapes? How Do I Export That?

If you have one of those Die layouts, which doesn’t have bumps, but rather uses pad shapes and labels to identify I/O locations, then you might be feeling a bit left out of all of this jazz and tango. Hence, today, I am writing to tell you that, fear not, we have a solution for your Die as well.(read more)




por

Virtuoso Meets Maxwell: Die Export Gets a Facelift

Hello everyone, today I’d like to talk to you about the recent enhancements to Die export in the Virtuoso RF Solution, most of which were released in ICADVM 18.1 ISR10. What’s the background for these enhancements? Exporting an abstract of a Die, which basically represents the outer boundary of the Die with I/O locations, as an intermediate file to exchange information between various Cadence tools (i.e., the Innovus, Virtuoso, and Allegro platforms) is not a new feature. This capability existed even prior to the Virtuoso RF Solution. However, the entire functionality was rewritten from scratch when we first started developing the Virtuoso RF Solution because the previous feature was deemed archaic, its performance and capacity needed to be enhanced, and use model needed to be modernized. This effort has been made in various phases, with the last round being completed and released in ICADVM18.1 ISR10.(read more)




por

Midnapore News in Bengali by News18 Bengali




por

Special Report: શું Chinaમાં પાછી ફરી Coronavirusની 'સેકન્ડ વેવ'?

Special Report: શું Chinaમાં પાછી ફરી Coronavirusની 'સેકન્ડ વેવ'?




por

લૉકડાઉનમાં મોંઘી પડી Porscheની સવારી, પોલીસે યુવક પાસે કરાવી ઉઠક-બેઠક

85 લાખની કાર લઈને ફરી રહેલા ઉદ્યોગપતિના દીકરાને ચાર રસ્તે દંડવામાં આવ્યો, જુઓ Video




por

News18 Urdu: Latest News Porbander

visit News18 Urdu for latest news, breaking news, news headlines and updates from Porbander on politics, sports, entertainment, cricket, crime and more.




por

News18 Urdu: Latest News Daporijo

visit News18 Urdu for latest news, breaking news, news headlines and updates from Daporijo on politics, sports, entertainment, cricket, crime and more.





por

Gulf Scheme Reveals BlackBerry SWP Tap-Cash Support










por

Dassault Systèmes Introduces SOLIDWORKS 2020, Designed for the 3DEXPERIENCE.WORKS Portfolio, Accelerating the Product Development Process for Millions of Users

•Customers can seamlessly extend their design to manufacturing ecosystem to the cloud with the integrated 3DEXPERIENCE.WORKS portfolio, enabling new levels of functionality, collaboration, agility and operational efficiency •Latest release of 3D design and engineering portfolio features hundreds of enhancements, new capabilities and workflows to accelerate and improve product development •Over six million SOLIDWORKS users can innovate products faster with better performance and streamlined...




por

Lockheed Martin Selects Dassault Systèmes’ 3DEXPERIENCE Platform to Support Digital Engineering Initiatives

•Lockheed Martin deploys the 3DEXPERIENCE platform as an engineering and manufacturing planning toolset •Multi-year collaboration aims to speed timelines and improve efficiencies of next generation products •Digital experience platform approach drives advances in complex, sophisticated aircraft innovation




por

Dassault Systèmes Reports First Quarter Financial Results With Recurring Software, Operating Margin and EPS At the High End of Its Non-IFRS Guidance

Dassault Systèmes Reports First Quarter Financial Results With Recurring Software, Operating Margin and EPS At the High End of Its Non-IFRS Guidance




por

Wii Fit - Future Of Airport Security?




por

T20-2020 BIOVIA Direct 2020: Support of BIOVIA Direct on Oracle Exadata Database Machine

BIOVIA Direct 2020






por

Mozilla's Firefox 70 Is Out: Privacy Reports Reveal Whose Cookies Are Tracking You




por

Avaya IP Office Customer Call Reporter Command Execution

This Metasploit module exploits an authentication bypass vulnerability on Avaya IP Office Customer Call Reporter, which allows a remote user to upload arbitrary files through the ImageUpload.ashx component. It can be abused to upload and execute arbitrary ASP .NET code. The vulnerability has been tested successfully on Avaya IP Office Customer Call Reporter 7.0.4.2 and 8.0.8.15 on Windows 2003 SP2.




por

Adobe Patches Important Bugs In Connect And Digital Edition




por

IBM Reports Huge Rise In Malicious Links




por

IBM Threat Report Highlights Data Risks





por

Dynamic MessageBoxA||W PEB And Import Table Method Shellcode

232 bytes small Dynamic MessageBoxA||W PEB and Import Table Method shellcode.




por

Jinfornet Jreport 15.6 Directory Traversal

Jinfornet Jreport version 15.6 suffers from an unauthenticated directory traversal vulnerability.





por

Aleza Portal 1.6 Insecure Cookie

Aleza Portal version 1.6 suffers from an insecure cookie handling vulnerability that allows for SQL injection.






por

Planes, Gate, And Bags: How Hackers Can Hijack Your Local Airport





por

Online Job Portal 1.0 Cross Site Request Forgery

Online Job Portal version 1.0 suffers from a cross site request forgery vulnerability.




por

Maian Support Helpdesk 4.3 Cross Site Request Forgery

Maian Support Helpdesk version 4.3 suffers from a cross site request forgery vulnerability.





por

Horde 5.2.22 CSV Import Code Execution

The Horde_Data module version 2.1.4 (and before) present in Horde Groupware version 5.2.22 allows authenticated users to inject arbitrary PHP code thus achieving remote code execution the server hosting the web application.





por

European Union Backs Biometric Passports




por

US Names The Day For Biometric Passports




por

DHS Completes Live Test Of E-Passports




por

U.S. Deploys First e-Passport Readers





por

British E-Passports Arrive, With Questions