ril

COVID-19 relief measure: Firms may get EPF relief for April too

The due date for depositing statutory contributions, aggregating one-fourth of one employee’s monthly wages, towards provident fund, to be deposited by an employer, falls within the first 15 days of the next month.




ril

Debt funds’ AUM take Rs 18k-cr hit in April

The daily AUM of such funds stood at Rs 74,709.11 crore in April, compared with `80,377.59 crore in March — declining Rs 5,668.48 crore.




ril

Coronavirus pandemic: WHO says 80,000 COVID-19 cases reported daily in April; India, Bangladesh see spike in infections

WHO Director-General Tedros Adhanom Ghebreyesus said on Wednesday that countries must also be able to manage any risk of the disease being imported into their territories, and communities should be fully educated to adjust to what will be a "new norm".




ril

Worst month since Great Depression: US unemployment rate soars to 14.7%, with 20.5 million jobs lost in April

The US Bureau of Labor Statistics (BLS) reported on Friday that the coronavirus shutdown has slashed a record number of jobs from nonfarm payrolls, sending the unemployment rate to record highs.
Read Full Article at RT.com




ril

How to plan the best April Fools' day prank

  Considering this is April, the most obvious thing to do this month would be to sharpen your funny bone and hone your 'pranking' technique. A good prank is like making lasagne. You need layers of past...




ril

Sugar output falls 20% till April 15, mills to tap Indonesia in a big way

A majority of sugar companies decided to make hand sanitisers to supply to hospitals by using a part of the ethanol/ENA production, thereby ensuring that the country does not face any shortage of good quality hand sanitisers.




ril

India’s forex reserves rose by $1.8 bn in week ended April 10

As per data from the Reserve Bank of India (RBI), the total reserves stood at $476.475 billion at the end of the week.




ril

Non-food credit growth rises to 7.04% y-o-y during fortnight ended April 10

After the Reserve Bank of India (RBI) cut its policy repo rate by 75 basis points (bps) on March 27, a number of banks and non-banking finance companies (NBFCs) followed by cutting their lending rates.




ril

RBI continues secondary market OMO purchases in third week of April

Although there is no concrete proof, experts believe a large chunk of this is likely to be T-Bill purchases by the RBI from the secondary market.




ril

Lockdown effect: Sugar output till April 30 dips 20%, mills sign more export contracts

Mills in Uttar Pradesh have produced 11.65 MT as on April 30, 2020, which is 3.72 lakh tonne higher than what was produced a year ago. Of 119 mills operated this year, 44 mills have ended their crushing. As many as 75 mills are operating as against 68 mills last year till April 30.




ril

Lockdown effect: States’ tax receipts shrink nearly 80% in April, May could be worse

State governments seem to have collected the lowest-ever inflation-adjusted monthly tax revenue in April.




ril

Covid blues: Manufacturing PMI sees record slide in April

Indian manufacturing saw its worst contraction in April, as a nation-wide lock-down hit fresh orders and businesses cut staff at a record pace.




ril

US will commence accepting H-1B Visa Petitions from April 1

The US Citizenship and Immigration Services will commence accepting fresh H-1B visa applications for the FY 2020 starting on April 1.The DetailsThe starting date for employment in these visas is October 1. Like in the past, the visa cap limit for H-1B…




ril

Kerala in semi-lockdown, bars temporarily shut as fight against COVID-19 intensifies

The state government, however, is reluctant to close down its own string of IMFL (Indian made foreign liquor) outlets, which net an annual revenue of Rs 14,508 crore.




ril

Taking motorcycle sidecar concept to the extremes: From racing sidecars to one with a working barbecue grill




ril

Royal Enfield Interceptor 650 custom-built as a flat tracker without brakes: Minimalistic yet brilliant




ril

India vs New Zealand, Second Test: Batsmen waste Shami-Bumrah’s brilliant show, Kohli’s men on verge of another defeat

India's top-order failed miserably once again as New Zealand bounced back, reducing India to 90 for six at stumps on day two as a three-day finish is on the cards.




ril

Coronavirus threat over IPL 2020: Overseas players to be unavailable till April 15 due to fresh visa restrictions

IPL is scheduled to begin on March 29 between the Chennai Super Kings and the defending champions Mumbai Indians at the Wankhede Stadium in Mumbai.




ril

International passenger air travel to remain suspended till April 14

The Covid-19 outbreak has led to unprecedented lockdowns across the world, with many countries shutting their borders and limiting public movement.




ril

Latest Corona lockdown guidelines: Hotels, motels, homestays, lodges to open after April 20

The doctors and health care workers across the country have been successful in curing a total of 1305 people who were infected with Coronavirus but their efforts could not be enough to save lives of 377 people from highly-infectious Covid-19.




ril

International passenger capacity for India reduced by 89 per cent in April due to COVID-19: UN

In February 2020, international passenger capacity reduced by 13 per cent, mainly related to traffic from/to States experiencing an early outbreak and States deeply interconnected to China.




ril

Kotak Mahindra Bank cuts savings account interest rate; effective from April 20

Kotak Mahindra Bank, which has revised interest rate on daily balances in savings account multiple times over the past year or so, has again made a change.



  • Banking & Finance
  • Industry
  • Kotak Mahindra Bank

ril

April 23 Auction: PSL breather for TLTRO 2.0

Vydianathan Ramaswamy, director, ratings at Brickwork Ratings, said that the extension of deployment period to 45 days gives banks a better lead time to lend to a wider set of non-banks.




ril

Bank advances grow 7.2%, deposits rise 9.45% in fortnight ended April 10

In the fiscal ended March 31, 2020, bank loans had decelerated to 6.14 per cent, a near five-decade low, due to slower economic growth, lower demand and as banks remained risk averse.




ril

Celestial treat for stargazers! ‘Evening star’ Venus just got brighter! 5 amazing things to watch out in April

On April 27, Venus will shine nine-times brighter than Jupiter, its nearest planetary 'competitor', and will outshine Sirius, the brightest of all stars, by almost 20-times




ril

Coronavirus outbreak: Tamil Nadu to get 1 lakh rapid test kits from China by April 9 for quick screening

These kits will help the state in quick screening of suspected Covid-19 patients. The chief minister said RTKs will be sent to all districts the very next day.




ril

Power demand falls 22.6% in April, steepest plunge in recent history

Electricity consumption in Uttar Pradesh declined by 20.3% in April while Rajasthan recorded an annual fall of 21.3% in the same month.




ril

Net inflows into equity MFs halve in April compared to March, as investors turn cautious

Industry experts say that lump-sum investments have stopped and even some investors are stopping their SIPs, due to the unpredictable equity markets.




ril

Analyst Corner: Jindal Steel & Power Rating ‘buy’ – Volume uptick in April beat sector trend

Company faring better than peers on operational front due to its focus on exports; lower costs to aid margin; ‘Buy’ maintained.




ril

Time spent on smartphones rose 16% during April 25- May 1, 2020: BARC-Nielsen report

Social networking, gaming and education apps recorded the highest growth




ril

Zoom had a ‘record’ April in India despite Government’s red flag over privacy

The MHA had issued an advisory for Zoom users in India in April, to safeguard their virtual meetings from prying eyes, deeming the video conferencing platform unsafe.




ril

Top Android Games and Apps of April 2020

The Google Play store is full of awesome apps that can help you with tasks or simply help you take a break and relax with an engaging game. This article consolidates the Apps and Games we highlighted for the month of April. Scroll through to find a couple of new options we think you will ...




ril

Bribery sucks up USD 2.0 trillion annually from global economy

Bribery sucks up USD 2.0 trillion annually from global economy says IMF




ril

Flipkart, Amazon, Paytm Mall, Snapdeal All Set To Sell Non-Essential Items From April 20th

After the Government extended the lockdown to curb the spread of COVID-19 outbreak, the Ministry of Home Affairs (MHA) released a set of revised guidelines for services that are allowed during the lockdown. Several ecommerce companies including Flipkart, Snapdeal and Paytm Mall are all set to resume full operations from April 20. Read to find […]

The post Flipkart, Amazon, Paytm Mall, Snapdeal All Set To Sell Non-Essential Items From April 20th first appeared on Trak.in . Trak.in Mobile Apps: Android | iOS.




ril

These 4 States Allow Online Sales Of All Goods After April 20th; But There Are Exceptions

The Ministry of Home Affairs (MHA) released a set of revised guidelines this week which included full fledged operation of the ecommerce companies from April 20. However the Centre has left it to the state governments to decide in which areas and to ensure compliance with rules of social distancing and sanitisation. Flipkart, Snapdeal and […]

The post These 4 States Allow Online Sales Of All Goods After April 20th; But There Are Exceptions first appeared on Trak.in . Trak.in Mobile Apps: Android | iOS.




ril

Covid-19 puts Putin's power plans on hold and economy in peril

Victory Day celebrations are cancelled and referendum to reset Putin’s term limits put on hold

If all had gone to plan, Vladimir Putin would have marked Victory Day in Red Square this weekend, hosting Emmanuel Macron and Xi Jinping as columns of soldiers and artillery passed by to honour the 75th anniversary of the defeat of Nazi Germany.

The 9 May celebrations would have crowned a historic political season in Russia, including a symbolic referendum to amend Russia’s constitution and reset Putin’s term limits, allowing him to remain in the Kremlin until 2036.

Related: Global report: Russia becomes Europe's coronavirus hotspot

Related: 'Painful to see': rise in Russian medics falling prey to Covid-19 as death toll questioned

Continue reading...




ril

[Volleyball] Volleyball Program to Host Camp on April 4th

Contact Head Coach, Alta Malchoff for more information. Amalchoff@haskell.edu




ril

Verilog Code to Custom IC Layout generation

Hello everyone,

I am Vinay and I am currently developing some digital circuits for my chip design for my master's thesis at University at Buffalo.

I am fairly very new to Verilog and I don't seem to follow some of the things others find very easy.

Following are the things that I want to do to which I have no clue:

1. Develop certain arithmetic functionality in Verilog

2. Generate netlist for the verilog code

3. Feed the netlist file to Cadence encounter to be able to generate Digital Circuits' layout for my chip

I can use Cadence Virtuoso and Encounter for this but I don't know the exact procedure to get this done.

Could someone please describe the detailed process for doing the things mentioned above.

Thank you.




ril

SystemVerilog package used inside VHDL-2008 design?

Hi,

Is it possible to use a SystemVerilog package which is compiled into a library and then use it in a VHDL-2008 design file? Is such mixed-language flow supported?

I'm considering the latest versions of Incisive / Xcelium available today (Oct 2019).

Thank you,

Michal




ril

axlShapeAutoVoid not voiding Backdrill shapes

Hi all,

I am creating shapes on plane layers for a coupon and want to void them using axlShapeAutoVoid()

The shapes are attached to a symbol.

I've tried using axlShapeAutoVoid, but this only voids the pins, not the route keepouts created by nc_backdrill.

I also tried selecting the shape, individually, then running axlShapeAutoVoid. That was unsuccessful, also.

planeShapes is a list of shapes I created. The code for voiding:

;run backdrill to get route keepouts
axlShell("setwindow pcb;backdrill setup ;setwindow form.nc_backdrill;FORM nc_backdrill apply ;FORM nc_backdrill close")


foreach(sHape planeShapes
axlShapeAutoVoid(car(sHape))
)




ril

New comer, need help with VIA drill size change

Greeting to all:

I am new in this tool, only 2 weeks. Trying to create a new Via with smaller size drill hole from exiting 13 mils size to 10 mils size. I got the message as imaged below. Any advise what to do?  Thanks in advance.

 




ril

Register Classes for SystemVerilog OVM

Hi, I am uploading a register class, which can be used for modeling hardware registers. I am uploading the source code and examples on how to run it. I also have a user guide which has all the APIs listed and explained. The user guide is ARV.pdf in the attached tar file. I have named the class ARV, which stands for Architect's Register View. It has got very good randomization and coverage features. Users have told me that its better than RAL. You can download it from http://verisilica.info/ARV.php
. There is a limit of 750KB in this cadence website. The ARV file is 4MB. That is why, I am uploading it at this site. I have a big pdf documentation and a doxygen documentation there. That is the reason for the bigger file size. The password to open the ZIP file is ovm_arv. I hope, everyone will use these classes.

Please contact me for any help.
Regards ANil




ril

Einstein's puzzle (System Verilog) solved by Incisive92

Hello All,

Following is the einstein's puzzle solved by cadence Incisive92  (solved in less than 3 seconds -> FAST!!!!!!)

Thanks,

Vinay Honnavara

Verification engineer at Keyu Tech

vinayh@keyutech.com

 

 

 

 // Author: Vinay Honnavara

// Einstein formulated this problem : he said that only 2% in the world can solve this problem
// There are 5 different parameters each with 5 different attributes
// The following is the problem

// -> In a street there are five houses, painted five different colors (RED, GREEN, BLUE, YELLOW, WHITE)

// -> In each house lives a person of different nationality (GERMAN, NORWEGIAN, SWEDEN, DANISH, BRITAIN)

// -> These five homeowners each drink a different kind of beverage (TEA, WATER, MILK, COFFEE, BEER),

// -> smoke different brand of cigar (DUNHILL, PRINCE, BLUE MASTER, BLENDS, PALL MALL)

// -> and keep a different pet (BIRD, CATS, DOGS, FISH, HORSES)


///////////////////////////////////////////////////////////////////////////////////////
// *************** Einstein's riddle is: Who owns the fish? ***************************
///////////////////////////////////////////////////////////////////////////////////////

/*
Necessary clues:

1. The British man lives in a red house.
2. The Swedish man keeps dogs as pets.
3. The Danish man drinks tea.
4. The Green house is next to, and on the left of the White house.
5. The owner of the Green house drinks coffee.
6. The person who smokes Pall Mall rears birds.
7. The owner of the Yellow house smokes Dunhill.
8. The man living in the center house drinks milk.
9. The Norwegian lives in the first house.
10. The man who smokes Blends lives next to the one who keeps cats.
11. The man who keeps horses lives next to the man who smokes Dunhill.
12. The man who smokes Blue Master drinks beer.
13. The German smokes Prince.
14. The Norwegian lives next to the blue house.
15. The Blends smoker lives next to the one who drinks water.
*/




typedef enum bit [2:0]  {red, green, blue, yellow, white} house_color_type;
typedef enum bit [2:0]  {german, norwegian, brit, dane, swede} nationality_type;
typedef enum bit [2:0]  {coffee, milk, water, beer, tea} beverage_type;
typedef enum bit [2:0]  {dunhill, prince, blue_master, blends, pall_mall} cigar_type;
typedef enum bit [2:0]  {birds, cats, fish, dogs, horses} pet_type;




class Einstein_problem;

    rand house_color_type house_color[5];
    rand nationality_type nationality[5];
    rand beverage_type beverage[5];
    rand cigar_type cigar[5];
    rand pet_type pet[5];
        rand int arr[5];
    
    constraint einstein_riddle_solver {
    
        
    
        foreach (house_color[i])
            foreach (house_color[j])
               if (i != j)
                house_color[i] != house_color[j];
        foreach (nationality[i])
            foreach (nationality[j])
               if (i != j)
                nationality[i] != nationality[j];
        foreach (beverage[i])
            foreach (beverage[j])
               if (i != j)
                beverage[i] != beverage[j];
        foreach (cigar[i])
            foreach (cigar[j])
               if (i != j)
                cigar[i] != cigar[j];
        foreach (pet[i])
            foreach (pet[j])
               if (i != j)
                pet[i] != pet[j];
    
    
        //1) The British man lives in a red house.
        foreach(nationality[i])
                (nationality[i] == brit) -> (house_color[i] == red);
                
        
        //2) The Swedish man keeps dogs as pets.
        foreach(nationality[i])
                (nationality[i] == swede) -> (pet[i] == dogs);
                
                
        //3) The Danish man drinks tea.        
        foreach(nationality[i])
                (nationality[i] == dane) -> (beverage[i] == tea);
        
        
        //4) The Green house is next to, and on the left of the White house.
        foreach(house_color[i])        
                 if (i<4)
                    (house_color[i] == green) -> (house_color[i+1] == white);
        
        
        //5) The owner of the Green house drinks coffee.
        foreach(house_color[i])
                (house_color[i] == green) -> (beverage[i] == coffee);
                
        
        //6) The person who smokes Pall Mall rears birds.
        foreach(cigar[i])
                (cigar[i] == pall_mall) -> (pet[i] == birds);
        
        
        //7) The owner of the Yellow house smokes Dunhill.
        foreach(house_color[i])
                (house_color[i] == yellow) -> (cigar[i] == dunhill);
        
        
        //8) The man living in the center house drinks milk.
        foreach(house_color[i])
                if (i==2) // i==2 implies the center house (0,1,2,3,4) 2 is the center
                    beverage[i] == milk;
        
        
        
        //9) The Norwegian lives in the first house.
        foreach(nationality[i])        
                if (i==0) // i==0 is the first house
                    nationality[i] == norwegian;
        
        
        
        //10) The man who smokes Blends lives next to the one who keeps cats.
        foreach(cigar[i])        
                if (i==0) // if the man who smokes blends lives in the first house then the person with cats will be in the second
                    (cigar[i] == blends) -> (pet[i+1] == cats);
        
        foreach(cigar[i])        
                if (i>0 && i<4) // if the man is not at the ends he can be on either side
                    (cigar[i] == blends) -> (pet[i-1] == cats) || (pet[i+1] == cats);
        
        foreach(cigar[i])        
                if (i==4) // if the man is at the last
                    (cigar[i] == blends) -> (pet[i-1] == cats);
        
        foreach(cigar[i])        
                if (i==4)
                    (pet[i] == cats) -> (cigar[i-1] == blends);
        
        
        //11) The man who keeps horses lives next to the man who smokes Dunhill.
        foreach(pet[i])
                if (i==0) // similar to the last case
                    (pet[i] == horses) -> (cigar[i+1] == dunhill);
        
        foreach(pet[i])        
                if (i>0 & i<4)
                    (pet[i] == horses) -> (cigar[i-1] == dunhill) || (cigar[i+1] == dunhill);
                    
        foreach(pet[i])        
                if (i==4)
                    (pet[i] == horses) -> (cigar[i-1] == dunhill);
                    


        //12) The man who smokes Blue Master drinks beer.
        foreach(cigar[i])
                (cigar[i] == blue_master) -> (beverage[i] == beer);
        
        
        //13) The German smokes Prince.
        foreach(nationality[i])        
                (nationality[i] == german) -> (cigar[i] == prince);
        

        //14) The Norwegian lives next to the blue house.
        foreach(nationality[i])
                if (i==0)
                    (nationality[i] == norwegian) -> (house_color[i+1] == blue);
        
        foreach(nationality[i])        
                if (i>0 & i<4)
                    (nationality[i] == norwegian) -> (house_color[i-1] == blue) || (house_color[i+1] == blue);
        
        foreach(nationality[i])        
                if (i==4)
                    (nationality[i] == norwegian) -> (house_color[i-1] == blue);
        

        //15) The Blends smoker lives next to the one who drinks water.            
        foreach(cigar[i])            
                if (i==0)
                    (cigar[i] == blends) -> (beverage[i+1] == water);
        
        foreach(cigar[i])        
                if (i>0 & i<4)
                    (cigar[i] == blends) -> (beverage[i-1] == water) || (beverage[i+1] == water);
                    
        foreach(cigar[i])        
                if (i==4)
                    (cigar[i] == blends) -> (beverage[i-1] == water);
        
    } // end of the constraint block
    


    // display all the attributes
    task display ;
        foreach (house_color[i])
            begin
                $display("HOUSE : %s",house_color[i].name());
            end
        foreach (nationality[i])
            begin
                $display("NATIONALITY : %s",nationality[i].name());
            end
        foreach (beverage[i])
            begin
                $display("BEVERAGE : %s",beverage[i].name());
            end
        foreach (cigar[i])
            begin
                $display("CIGAR: %s",cigar[i].name());
            end
        foreach (pet[i])
            begin
                $display("PET : %s",pet[i].name());
            end
        foreach (pet[i])
            if (pet[i] == fish)
                $display("THE ANSWER TO THE RIDDLE : The %s has %s ", nationality[i].name(), pet[i].name());
    
    endtask // end display
    
    
endclass




program main ;

    initial
        begin
            Einstein_problem ep;
            ep = new();
            if(!ep.randomize())
                $display("ERROR");
            ep.display();
        end
endprogram // end of main

        




ril

Unable to Import .v files with `define using "Cadence Verilog In" tool

Hello,

I am trying to import multiple verilog modules defined in a single file with "`define" directive in the top using Verilog In. The code below is an example of what my file contains.

When I use the settings below to import the modules into a library, it imports it correctly but completely ignores all `define directive; hence when I simulate using any of the modules below the simulator errors out requesting these variables.

My question: Is there a way to make Verilog In consider `define directives in every module cell created? 

Code to be imported by Cadence Verilog In:

--------------------------------------------------------

`timescale 1ns/1ps
`define PROP_DELAY 1.1
`define INVALID_DELAY 1.3

`define PERIOD 1.1
`define WIDTH 1.6
`define SETUP_TIME 2.0
`define HOLD_TIME 0.5
`define RECOVERY_TIME 3.0
`define REMOVAL_TIME 0.5
`define WIDTH_THD 0.0

`celldefine
module MY_FF (QN, VDD, VSS, A, B, CK);


inout VDD, VSS;
output QN;
input A, B, CK;
reg NOTIFIER;
supply1 xSN,xRN;
buf IC (clk, CK);
and IA (n1, A, B);
udp_dff_PWR I0 (n0, n1, clk, xRN, xSN, VDD, VSS, NOTIFIER);
not I2 (QN, n0);

wire ENABLE_B ;
wire ENABLE_A ;
assign ENABLE_B = (B) ? 1'b1:1'b0;
assign ENABLE_A = (A) ? 1'b1:1'b0;

specify
$setuphold(posedge CK &&& (ENABLE_B == 1'b1), posedge A,  `SETUP_TIME, `HOLD_TIME, NOTIFIER);
$setuphold(posedge CK &&& (ENABLE_B == 1'b1), negedge A, `SETUP_TIME, `HOLD_TIME, NOTIFIER);
$setuphold(posedge CK &&& (ENABLE_A == 1'b1), posedge B, `SETUP_TIME, `HOLD_TIME, NOTIFIER);
$setuphold(posedge CK &&& (ENABLE_A == 1'b1), negedge B, `SETUP_TIME, `HOLD_TIME, NOTIFIER);
$width(posedge CK,1.0,0.0,NOTIFIER);
$width(negedge CK,1.0,0.0,NOTIFIER);
if (A==1'b0 && B==1'b0)
(posedge CK => (QN:1'bx)) = (1.0, 1.0);
if (A==1'b1 && B==1'b0)
(posedge CK => (QN:1'bx)) = (1.0, 1.0);
if (B==1'b1)
(posedge CK => (QN:1'bx)) = (1.0,1.0);

endspecify


endmodule // MY_FF
`endcelldefine

`timescale 1ns/1ps
`celldefine
module MY_FF2 (QN, VDD, VSS, A, B, CK);


inout VDD, VSS;
output QN;
input A, B, CK;
reg NOTIFIER;
supply1 xSN,xRN;
buf IC (clk, CK);
and IA (n1, A, B);
udp_dff_PWR I0 (n0, n1, clk, xRN, xSN, VDD, VSS, NOTIFIER);
not I2 (QN, n0);

wire ENABLE_B ;
wire ENABLE_A ;
assign ENABLE_B = (B) ? 1'b1:1'b0;
assign ENABLE_A = (A) ? 1'b1:1'b0;

specify
$setuphold(posedge CK &&& (ENABLE_B == 1'b1), posedge A,  `SETUP_TIME, `HOLD_TIME, NOTIFIER);
$setuphold(posedge CK &&& (ENABLE_B == 1'b1), negedge A,  `SETUP_TIME, `HOLD_TIME, NOTIFIER);
$setuphold(posedge CK &&& (ENABLE_A == 1'b1), posedge B,  `SETUP_TIME, `HOLD_TIME, NOTIFIER);
$setuphold(posedge CK &&& (ENABLE_A == 1'b1), negedge B,  `SETUP_TIME, `HOLD_TIME, NOTIFIER);
$width(posedge CK,1.0,0.0,NOTIFIER);
$width(negedge CK,1.0,0.0,NOTIFIER);
if (A==1'b0 && B==1'b0)
(posedge CK => (QN:1'bx)) = (1.0, 1.0);
if (A==1'b1 && B==1'b0)
(posedge CK => (QN:1'bx)) = (1.0, 1.0);
if (B==1'b1)
(posedge CK => (QN:1'bx)) = (1.0,1.0);

endspecify


endmodule // MY_FF2
`endcelldefine

--------------------------------------------------------

I am using the following Cadence versions:

MMSIM Version: 13.1.1.660.isr18

Virtuoso Version: IC6.1.8-64b.500.1

irun Version: 14.10-s039

Spectre Version: 18.1.0.421.isr9




ril

April Fools Day: কেন আজ বোকা বানানো হয় জানেন?




ril

জিও-র অংশীদার ফেসবুক, দেশের তথ্যপ্রযুক্তি ক্ষেত্রে সবথেকে বড় বিদেশি বিনিয়োগ, জানাল RIL




ril

RIL Q4: জিও-র নিট মুনাফা ২৩৩১ কোটি টাকা, বৃদ্ধি ৭৩ শতাংশ




ril

RIL Q4 Results: মোট রেভিনিউ ১ লক্ষ কোটির বেশি, এক নজরে রিলায়েন্সের চতুর্থ ত্রৈমাসিকের ফল