too

Shilpa Shetty & Viaan Raj Kundra Bake Nutritious Cookies At Home, Here's How You Can Too!

Shilpa Shetty and her son Viaan Raj Kundra tried their hand at baking some delicious peanut butter-choco-oat cookies at home since Viaan is a passionate baker.




too

Delaware growers first on East Coast to get new tool to help with pesticide drift

Delaware growers are the first on the East Coast able to take advantage of a new online tool that helps protect sensitive crops from pesticides that may drift due to wind or weather. Delaware is the newest participant in the DriftWatch program, which allows growers of certain crops or commercial beekeepers to alert pesticide applicators of sensitive areas before they spray.



  • Department of Agriculture
  • News

too

New online tool to assess community tree canopy in Delaware

The Delaware Forest Service (DFS) has unveiled a new online tool that uses geographic information systems (GIS) software to help cities, towns, and neighborhoods to measure and increase their community tree canopy percentage. The link is: de.gov/treecanopy Developed by the Delaware Department of Agriculture's GIS specialist Jimmy Kroon, the tool covers the entire First State: municipalities as well as homeowner associations and neighborhoods can assess their current level of tree cover as a starting point to explore opportunities to plant new trees and maintain their existing ones.




too

2K Announces New Cartoonish Wrestling Game: WWE 2K Battlegrounds




too

Unilever withdraws 2020 guidance: Hindustan Unilever may get hit too

Unilever had expected demand to pick up across its markets in H2 of 2020 with a growth of 3%. The company had lowered its guidance for 2020 in December 2019 and now its long-term guidance band for the current year too stands suspended.




too

Cartoon: Gated-Ade

Young entrepreneurs are crushing it on collecting personal data




too

Honor X10 5G photos from Everest shared before phone's announcement, Honor 30 Pro+ shots too

A few days ago China sent a survey team to study Mt. Everest, today they reached the Advanced Base Camp at 6,500m (21,300ft). What's interesting about this expedition (besides the scientific work that it will do) is that two photographers, Li Pu and Cai Qing, used two Honor phones to capture some beautiful shots. One of these was the Honor X10 5G, which hasn't been officially announced yet (so these are the first official camera samples from the phone). The five shots have 10MP resolution, since pixel binning was used - the X10 will feature a custom Sony IMX600ysensor with 40MP raw...




too

COVID-19 relief measure: Firms may get EPF relief for April too

The due date for depositing statutory contributions, aggregating one-fourth of one employee’s monthly wages, towards provident fund, to be deposited by an employer, falls within the first 15 days of the next month.




too

2K Announces New Cartoonish Wrestling Game: WWE 2K Battlegrounds




too

How to improve productivity using free software tools

  Too many distractions can bring down your productivity, but so can boredom, a whole pile of brainless and repetitive tasks and so on and so forth. Here we’ve compiled a list of apps and Chrome e...




too

How do I connect from my Android phone to Intel Edison using Bluetooth?

  Introduction Bluetooth* LE (BLE) communication use is exploding both in commercial products and hobby applications, mainly due to its low-cost and low-power requirements. This makes it an excellent...




too

The best tools to get started with Augmented Reality development

  Last month, Pokémon GO officially launched in… Oh, wait! Not again! Not another article about augmented reality starting with Pokémon GO! It almost seems as if Pokémon GO a...




too

Whirlpool deploys chemicals management tool across EMEA region

Covid-19 causing disruptions but compliance a 'critical pathway'




too

Wheat procurement at MSP starts at a slow pace, arrivals low too

While the government has fixed the wheat MSP at Rs 1,925/quintal, traders are buying the grain at about Rs 1,700-1,800/quintal in mandis in Gujarat and Rajasthan.




too

Don’t limit packages to businesses, lenders; states too need succour: Sharad Pawar to PM Modi

Pawar’s letter to Modi dated April 25 echoed similar demands raised by many states including Kerala and Punjab, and underlined the notion that cutting spending was hardly an option for states at this juncture.




too

Cartoon: Premium Busker

Get your real-time, live, streaming music ad free, even on the subway




too

Cartoon: Virtual Doubloons

How digital payments take all the fun out of buried treasure




too

Cartoon: The Price of Customer Experience

Brands may be watching your every move, but hey...at least you get a great CX




too

There’s too much hype about Pakistan’s nuclear capability: Anil Kakodkar

Nuclear physicists Kakodkar and Gangotra believe India’s nuclear industry “lost time” due to liability regime that followed 2008 nuclear deal, say country should aim for 50% nuclear energy, and insist that the space programme is about “national pride”, not jingoism




too

CAA rules: Applicants must submit proof of religion too

Assam had asked the MHA to limit the time period to three months, as it felt that keeping it open-ended could further accentuate the apprehensions over CAA in the state.




too

CFMoto 300NK in images: KTM 390 Duke challenger looks aggressive, packs decent features too!

CFMoto 300NK is the entry-level motorcycle in the company's product portfolio for India. The bike gets power from a 292cc, liquid-cooled, single-cylinder engine mated to a six-speed transmission, churning out 28 hp of power and 25 Nm of torque.




too

State of the world: Is it too late to shift to sustainable living?

People can be drivers of drastic, aggressive, and effective solutions. The ongoing COVID-19 pandemic crisis is a great example of how people around the world can join forces to fight a disaster together.




too

Book Review: ‘Too Small to Fail’ by R James Breiding

A thought-provoking book on why smaller nations have better economies while larger countries lag behind




too

MI Bluetooth speakers, earphones: Enjoy your music anytime, anywhere

Xiaomi’s new audio devices sound great and are perfect for folks on a budget.




too

NIIT Technologies Rating: Add; Execution stood out in a challenging scenario

Recovery is expected in Q2; upgraded to ‘Add’ given recent correction and other positives; TP cut to Rs 1,310.




too

The new “Hotness” at DomainTools, Introducing Domain Hotlist

We are proud to announce Domain Hotlist, a predictive, prioritized, and easily consumable block list that identifies active, high-risk domains—empowering organizations to proactively guard against relevant, emerging threats.




too

China’s Military Is Tied to Debilitating New Cyberattack Tool

An Israeli security company said the hacking software, called Aria-body, had been deployed against governments and state-owned companies in Australia and Southeast Asia.




too

Kiper's 2021 NFL draft rankings: Way-too-early Big Board, top prospects at every position

Quarterbacks at the top. Elite wide receiver talent. And a top tier of offensive linemen. The Class of 2021 could be special.




too

Stylus flowtool

Hi,

  I wanted to open a discussion on the stylus flowtool.  My purpose is to see if there are users out there who are having success with the tool.  To have some discussions around issues that I am running into and to get a user point of view on the problems I am trying to solve.

  Let's start the conversation with : Is there anyone out there trying to use flowtool?  Do you have a centralized flow, or each user has their own?

Thanks, and I look forward to the conversations...

--Craig Crump




too

About SDF file after synthesis in Genus Tool

hello sir this is Ganesh  from NIT Hamirpur pursuing MTech in VLSI. I have doubt regarding SDF i'm using genus tool for synthesis & after synthesis when i'm generating SDF it is giving delays by default for maximum values but i want all the delays like minimum:Typical:Maximum how can i do this. Is there any provision to set PVT values manually for SDF generation so that i can get all the delay values.




too

Broadband SPICE -- New Tool for S-Parameter Simulation in Spectre RF

Hi All, Here's another great new feature that I've found very helpful... Broadband SPICE is a new tool for S-parameter simulation in Spectre RF. In the MMSIM13.1.1 ( MMSIM13.1 USR1) release (now available on http://downloads.cadence.com), a...(read more)




too

customizing status toolbar

Hi,

I would like to add items like length of selected metal or area also in status tool bar. I have tried below option but I am getting warning as shown below. Could you please give suggestions. 

envGetVal("layout" "statusToolbarFields")

*WARNING* envGetVal: Could not find variable 'statusToolbarFields' in tool[.partition] 'layout'

Regards,

Varsha




too

2019 HF1 Release for Clarity, Celsius, and Sigrity Tools Now Available

The 2019 HF1 production release for Clarity, Celsius, and Sigrity Tools is now available for download at Cadence Downloads . SIGRITY2019 HF1 For information about supported platforms, compatibility...

[[ Click on the title to access the full blog on the Cadence Community site. ]]




too

Computational Software: A New Paradigm for EDA Tools

Cadence has a new white paper out on Computational Software . I've written on these topics in Breakfast Bytes, most recently in the posts: Computational Software System Analysis: Computational...

[[ Click on the title to access the full blog on the Cadence Community site. ]]




too

Developing a solid DV flow : xrun wrapper tool

Hi all,

I need to develop a digital design/verification solution to compile,elaborate and simulate SV designs (basically a complex xrun wrapper). I am an experienced user of xrun and I have done a number of these wrappers over the years but this one is to be more of a tool, intented to be used Company-wise, so it needs to be very well thought and engineered.

It needs to be robust, simple and extensible. It needs to support multi-snapshot elaboration, run regressions on machine farms, collect coverage, create reports, etc.

I've been browsing the vast amount of documentation on XCELIUM and, although very good, I can't find any document which puts together all the pieces of what I am trying to achieve. I suppose I am more clear on the elaboration, compilation and simulation part but I am really lacking on the other areas like : LSF, regressions coverage, where does vManager fits in all this, etc.

I'd appreciate if someone can comment on whether there is a document which depicts how such a DV flow can be put together from scratch, or whether there is a kind of RAK with some example xrun wrapper.

Thanks




too

ce_tools directory no longer shipped with Specman

Hello All,

starting with version 8.1 the contents of the ce_tools directory will no longer
be shipped with Specman. The directory contains some unsupported AE/R&D
ware and has not been updated for several releases (i.e. most of those old
packages don't work with the latest release).
 
Attached is the contents of this directory. Please read the README before
using any of the packages.


Regards,
-hannes


Originally posted in cdnusers.org by hannes




too

Unable to Import .v files with `define using "Cadence Verilog In" tool

Hello,

I am trying to import multiple verilog modules defined in a single file with "`define" directive in the top using Verilog In. The code below is an example of what my file contains.

When I use the settings below to import the modules into a library, it imports it correctly but completely ignores all `define directive; hence when I simulate using any of the modules below the simulator errors out requesting these variables.

My question: Is there a way to make Verilog In consider `define directives in every module cell created? 

Code to be imported by Cadence Verilog In:

--------------------------------------------------------

`timescale 1ns/1ps
`define PROP_DELAY 1.1
`define INVALID_DELAY 1.3

`define PERIOD 1.1
`define WIDTH 1.6
`define SETUP_TIME 2.0
`define HOLD_TIME 0.5
`define RECOVERY_TIME 3.0
`define REMOVAL_TIME 0.5
`define WIDTH_THD 0.0

`celldefine
module MY_FF (QN, VDD, VSS, A, B, CK);


inout VDD, VSS;
output QN;
input A, B, CK;
reg NOTIFIER;
supply1 xSN,xRN;
buf IC (clk, CK);
and IA (n1, A, B);
udp_dff_PWR I0 (n0, n1, clk, xRN, xSN, VDD, VSS, NOTIFIER);
not I2 (QN, n0);

wire ENABLE_B ;
wire ENABLE_A ;
assign ENABLE_B = (B) ? 1'b1:1'b0;
assign ENABLE_A = (A) ? 1'b1:1'b0;

specify
$setuphold(posedge CK &&& (ENABLE_B == 1'b1), posedge A,  `SETUP_TIME, `HOLD_TIME, NOTIFIER);
$setuphold(posedge CK &&& (ENABLE_B == 1'b1), negedge A, `SETUP_TIME, `HOLD_TIME, NOTIFIER);
$setuphold(posedge CK &&& (ENABLE_A == 1'b1), posedge B, `SETUP_TIME, `HOLD_TIME, NOTIFIER);
$setuphold(posedge CK &&& (ENABLE_A == 1'b1), negedge B, `SETUP_TIME, `HOLD_TIME, NOTIFIER);
$width(posedge CK,1.0,0.0,NOTIFIER);
$width(negedge CK,1.0,0.0,NOTIFIER);
if (A==1'b0 && B==1'b0)
(posedge CK => (QN:1'bx)) = (1.0, 1.0);
if (A==1'b1 && B==1'b0)
(posedge CK => (QN:1'bx)) = (1.0, 1.0);
if (B==1'b1)
(posedge CK => (QN:1'bx)) = (1.0,1.0);

endspecify


endmodule // MY_FF
`endcelldefine

`timescale 1ns/1ps
`celldefine
module MY_FF2 (QN, VDD, VSS, A, B, CK);


inout VDD, VSS;
output QN;
input A, B, CK;
reg NOTIFIER;
supply1 xSN,xRN;
buf IC (clk, CK);
and IA (n1, A, B);
udp_dff_PWR I0 (n0, n1, clk, xRN, xSN, VDD, VSS, NOTIFIER);
not I2 (QN, n0);

wire ENABLE_B ;
wire ENABLE_A ;
assign ENABLE_B = (B) ? 1'b1:1'b0;
assign ENABLE_A = (A) ? 1'b1:1'b0;

specify
$setuphold(posedge CK &&& (ENABLE_B == 1'b1), posedge A,  `SETUP_TIME, `HOLD_TIME, NOTIFIER);
$setuphold(posedge CK &&& (ENABLE_B == 1'b1), negedge A,  `SETUP_TIME, `HOLD_TIME, NOTIFIER);
$setuphold(posedge CK &&& (ENABLE_A == 1'b1), posedge B,  `SETUP_TIME, `HOLD_TIME, NOTIFIER);
$setuphold(posedge CK &&& (ENABLE_A == 1'b1), negedge B,  `SETUP_TIME, `HOLD_TIME, NOTIFIER);
$width(posedge CK,1.0,0.0,NOTIFIER);
$width(negedge CK,1.0,0.0,NOTIFIER);
if (A==1'b0 && B==1'b0)
(posedge CK => (QN:1'bx)) = (1.0, 1.0);
if (A==1'b1 && B==1'b0)
(posedge CK => (QN:1'bx)) = (1.0, 1.0);
if (B==1'b1)
(posedge CK => (QN:1'bx)) = (1.0,1.0);

endspecify


endmodule // MY_FF2
`endcelldefine

--------------------------------------------------------

I am using the following Cadence versions:

MMSIM Version: 13.1.1.660.isr18

Virtuoso Version: IC6.1.8-64b.500.1

irun Version: 14.10-s039

Spectre Version: 18.1.0.421.isr9




too

Can't Find Quantus QRC toolbar on the Layout Suite

Hi, 

I want my layout verified by Quantus QRC. But, I can't find the tool bar on the option list ( as show in the picture)

I have tried to install EXT182 and configured it with iscape already, and also make some path settings on .bashrc, .cshrc. But, when I re-source .cshrc and run virtuoso again, I just can't find the toolbar. 

If you have some methods, please let me know.

Thanks a lot!

Appreciated

My virtuoso version is: ICADV12.3




too

LEADTOOLS Active-X DLL Hijacking

LEADTOOLS Active-X control suffers from multiple DLL side loading vulnerabilities.




too

Malmon Detection Tool 0.1b

Malmon is a real-time exploit/backdoor detection tool for Linux that audits the integrity of files in a given directory.




too

Malmon Detection Tool 0.3

Malmon is a real-time exploit/backdoor detection tool for Linux that audits the integrity of files in a given directory.




too

Web Tools Create XSS Headaches







too

Design Flaw Leaves Bluetooth Devices Vulnerable





too

OpenSSL Toolkit 1.1.1c

OpenSSL is a robust, fully featured Open Source toolkit implementing the Secure Sockets Layer (SSL v2/v3) and Transport Layer Security (TLS v1) protocols with full-strength cryptography world-wide.




too

OpenSSL Toolkit 1.1.1d

OpenSSL is a robust, fully featured Open Source toolkit implementing the Secure Sockets Layer (SSL v2/v3) and Transport Layer Security (TLS v1) protocols with full-strength cryptography world-wide.




too

OpenSSL Toolkit 1.1.1e

OpenSSL is a robust, fully featured Open Source toolkit implementing the Secure Sockets Layer (SSL v2/v3) and Transport Layer Security (TLS v1) protocols with full-strength cryptography world-wide.