tool

Measuring Adverse Events and Levels of Harm in Pediatric Inpatients With the Global Trigger Tool

The Global Trigger Tool uses a sampling methodology to identify and measure harm rates. It has been shown to effectively detect adverse events when applied in the adult environment, but it has never been evaluated in a pediatric setting.

The Global Trigger Tool can be used in the pediatric inpatient environment to measure adverse safety events. We detected a 2 to 3 times higher harm rate than previously found with different metrics in this setting. (Read the full article)




tool

Longitudinal Validation of a Tool for Asthma Self-Monitoring

To prevent asthma exacerbations, asthma guidelines recommend ongoing monitoring of patients’ asthma symptoms to promote timely adjustments of therapy to achieve and maintain optimal control. Existing tools, validated for ongoing monitoring, have significant limitations in children.

Our study established longitudinal validation of the Asthma Symptom Tracker, a novel tool designed for use by children or their parents to facilitate ongoing monitoring of patients’ asthma symptoms and proactive medical decision-making to prevent acute exacerbations. (Read the full article)




tool

Accuracy of Brief Screening Tools for Identifying Postpartum Depression Among Adolescent Mothers

Studies assessing the accuracy of brief screening tools for postpartum depression have been conducted among adult women; however, no similar validation studies have been conducted among adolescent mothers. Accurate and valid brief depression screening tools are needed for adolescent mothers.

We found that the 10-item Edinburgh Postnatal Depression Scale (EPDS) and 2 subscales, the EPDS-7 and EPDS-2, are highly accurate at identifying postpartum depression among adolescent mothers. In pediatric settings with limited time and resources, these brief scales have potential to be used as effective depression screening tools. (Read the full article)




tool

Use of Modified Acute Concussion Evaluation Tools in the Emergency Department

Concussions in youth are a common injury evaluated in the emergency department (ED). Early recognition and active management of this mild traumatic brain injury are important to safe recovery. Tools to assess and manage concussion in the ED are lacking.

Acute Concussion Evaluation tools, modified for ED use, improved reported follow-up with primary care or concussion specialists and adherence to recommendations. Barriers to follow-up remain and the importance of ongoing outpatient management should be stressed. (Read the full article)




tool

Stool Microbiota and Vaccine Responses of Infants

Oral vaccine responses are low in children from less-developed countries perhaps as a result of intestinal dysbiosis. New high-throughput DNA-based methods allow characterization of intestinal microbiota as a predictor of vaccine responses.

High abundance of stool Actinobacteria, including Bifidobacterium, was associated with higher responses to oral and parenteral vaccines and a larger thymus in Bangladeshi infants. Conversely, high abundance of Clostridiales, Enterobacteriales, and Pseudomonadales was associated with neutrophilia and lower vaccine responses. (Read the full article)




tool

A Trigger Tool to Detect Harm in Pediatric Inpatient Settings

Harm occurs at a high rate in adult inpatient populations. One single-center study, applying an adult-based surveillance tool, suggests that a pediatric inpatient population also has a high rate of harm.

Harm occurred frequently in 6 freestanding children’s hospitals. Identification and understanding of the harm is the first step to making necessary improvements and to preventing future harm. (Read the full article)




tool

Validation of a Prediction Tool for Abusive Head Trauma

A previous multivariable statistical model, using individual patient data, estimated the probability of abusive head trauma based on the presence or absence of 6 clinical features: rib fracture, long-bone fracture, apnea, seizures, retinal hemorrhage, and head or neck bruising.

The model performed well in this validation, with a sensitivity of 72.3%, specificity of 85.7%, and area under the curve of 0.88. In children <3 years old with intracranial injury plus ≥3 features, the estimated probability of abuse is >81.5%. (Read the full article)




tool

This Tool Can Help Identify 'STEM Deserts.' But It Needs Your Feedback

The National Math and Science Initiative's new tool aims to help the field look for patterns in STEM data, so educators and policy folks can fill in holes.




tool

Promote Books with Free Online Multimedia Slideshow Tools

Just like grocery stores offer free food samples, technology companies, such as VoiceThread, offer free accounts for educators who want to try their products.




tool

Multimedia Tool: Teaching the Presidential Campaign

The Newseum in Washington has just launched Decision 2012: Exploring Elections and the Media, an online resource for teaching about the presidential campaign and election.




tool

301 The Best Email Marketing Tools of 2015

Email marketing software offers quick and easy tools that can help you grow your business, and we've tested the best of them.




tool

The Infantile Hemangioma Referral Score: A Validated Tool for Physicians

OBJECTIVES:

Infantile hemangiomas (IHs) are common; some cases require timely referral and treatment to prevent complications. We developed and validated a reliable instrument for timely and adequate referral of patients with IH to experts by nonexpert primary physicians.

METHODS:

In this multicenter, cross-sectional, observational study, we used a 3-stage process: (1) development of the Infantile Hemangioma Referral Score (IHReS) tool by IH experts who selected a representative set of 42 IH cases comprising images and a short clinical history, (2) definition of the gold standard for the 42 cases by a second independent committee of IH experts, and (3) IHReS validation by nonexpert primary physicians using the 42 gold standard cases.

RESULTS:

A total of 60 primary physicians from 7 different countries evaluated the 42 gold standard cases (without reference to the IHReS tool); 45 primary physicians evaluated these cases using the IHReS questionnaire, and 44 completed retesting using the instrument. IHReS had a sensitivity of 96.9% (95% confidence interval 96.1%–97.8%) and a specificity of 55.0% (95% confidence interval 51.0%–59.0%). The positive predictive value and negative predictive value were 40.5% and 98.3%, respectively. Validation by experts and primary physicians revealed substantial agreement for interrater reliability and intrarater repeatability.

CONCLUSIONS:

IHReS, a 2-part algorithm with a total of 12 questions, is an easy-to-use tool for primary physicians for the purpose of facilitating correct and timely referral of patients with IH. IHReS may help practitioners in their decision to refer patients to expert centers.




tool

Response: 'Embracing Technology' as a Tool for Differentiation

Elizabeth Stringer Keefe, Becky Shiring, Katie Robinson, Dr. Sonny Magana and Dr. Monica Burns contribute their suggestions on using tech to differentiate instruction.




tool

Fin24.com | Want to improve managing your money? Here are 7 tools

This list looks at seven top South African products disrupting the traditional way of doing finance.




tool

Bone Age: A Handy Tool for Pediatric Providers

Ana L. Creo
Dec 1, 2017; 140:e20171486-e20171486
STATE-OF-THE-ART REVIEW ARTICLES




tool

Teachers of Students With Behavior Problems Want Help Finding Evidence-Based Tools

A survey of educators around the country found that many reported looking up interventions on their own, when they really wanted more formal training, a survey found.




tool

Instructions released for Alternative Grade Calculator and Request Tool

Instructions for using the new Alternative Grade Calculator and Request Tool in LionPATH are now available for undergraduates and students enrolled in the Graduate School.




tool

Apple Caving on Hong Kong Shows the Limits of Security as a Sales Tool

Security expert Max Eddy explains how Apple banning an app used by pro-democracy protesters shows how even the best consumer security polices fail when there's a lack of will to enforce them appropriately.




tool

New Public Data Tool Lets You See What Curricula Schools in Nebraska Are Using

Nebraska's education department released an interactive instructional materials map last week, showing what curricula districts have adopted for English-language arts, math, and K-8 science.




tool

The Five-Tool Scholar

On Wednesday, I'll be publishing the 2020 RHSU Edu-Scholar Public Influence Rankings, so I want to take a few moments today to explain the purpose of those rankings.




tool

Music streaming is a potent tool to engage with millennials

The most interesting part of this disruptive market is that as consumers’ media consumption habits are evolving, brands are seeking better technology to target them with relevant content and occasions.




tool

Employees as brand advocates is an untapped marketing tool

A new phrase in marketing which started in the US a few years back is now catching on in India as well.




tool

Delaware growers first on East Coast to get new tool to help with pesticide drift

Delaware growers are the first on the East Coast able to take advantage of a new online tool that helps protect sensitive crops from pesticides that may drift due to wind or weather. Delaware is the newest participant in the DriftWatch program, which allows growers of certain crops or commercial beekeepers to alert pesticide applicators of sensitive areas before they spray.



  • Department of Agriculture
  • News

tool

New online tool to assess community tree canopy in Delaware

The Delaware Forest Service (DFS) has unveiled a new online tool that uses geographic information systems (GIS) software to help cities, towns, and neighborhoods to measure and increase their community tree canopy percentage. The link is: de.gov/treecanopy Developed by the Delaware Department of Agriculture's GIS specialist Jimmy Kroon, the tool covers the entire First State: municipalities as well as homeowner associations and neighborhoods can assess their current level of tree cover as a starting point to explore opportunities to plant new trees and maintain their existing ones.




tool

How to improve productivity using free software tools

  Too many distractions can bring down your productivity, but so can boredom, a whole pile of brainless and repetitive tasks and so on and so forth. Here we’ve compiled a list of apps and Chrome e...




tool

The best tools to get started with Augmented Reality development

  Last month, Pokémon GO officially launched in… Oh, wait! Not again! Not another article about augmented reality starting with Pokémon GO! It almost seems as if Pokémon GO a...




tool

Whirlpool deploys chemicals management tool across EMEA region

Covid-19 causing disruptions but compliance a 'critical pathway'




tool

The new “Hotness” at DomainTools, Introducing Domain Hotlist

We are proud to announce Domain Hotlist, a predictive, prioritized, and easily consumable block list that identifies active, high-risk domains—empowering organizations to proactively guard against relevant, emerging threats.




tool

China’s Military Is Tied to Debilitating New Cyberattack Tool

An Israeli security company said the hacking software, called Aria-body, had been deployed against governments and state-owned companies in Australia and Southeast Asia.




tool

Stylus flowtool

Hi,

  I wanted to open a discussion on the stylus flowtool.  My purpose is to see if there are users out there who are having success with the tool.  To have some discussions around issues that I am running into and to get a user point of view on the problems I am trying to solve.

  Let's start the conversation with : Is there anyone out there trying to use flowtool?  Do you have a centralized flow, or each user has their own?

Thanks, and I look forward to the conversations...

--Craig Crump




tool

About SDF file after synthesis in Genus Tool

hello sir this is Ganesh  from NIT Hamirpur pursuing MTech in VLSI. I have doubt regarding SDF i'm using genus tool for synthesis & after synthesis when i'm generating SDF it is giving delays by default for maximum values but i want all the delays like minimum:Typical:Maximum how can i do this. Is there any provision to set PVT values manually for SDF generation so that i can get all the delay values.




tool

Broadband SPICE -- New Tool for S-Parameter Simulation in Spectre RF

Hi All, Here's another great new feature that I've found very helpful... Broadband SPICE is a new tool for S-parameter simulation in Spectre RF. In the MMSIM13.1.1 ( MMSIM13.1 USR1) release (now available on http://downloads.cadence.com), a...(read more)




tool

customizing status toolbar

Hi,

I would like to add items like length of selected metal or area also in status tool bar. I have tried below option but I am getting warning as shown below. Could you please give suggestions. 

envGetVal("layout" "statusToolbarFields")

*WARNING* envGetVal: Could not find variable 'statusToolbarFields' in tool[.partition] 'layout'

Regards,

Varsha




tool

2019 HF1 Release for Clarity, Celsius, and Sigrity Tools Now Available

The 2019 HF1 production release for Clarity, Celsius, and Sigrity Tools is now available for download at Cadence Downloads . SIGRITY2019 HF1 For information about supported platforms, compatibility...

[[ Click on the title to access the full blog on the Cadence Community site. ]]




tool

Computational Software: A New Paradigm for EDA Tools

Cadence has a new white paper out on Computational Software . I've written on these topics in Breakfast Bytes, most recently in the posts: Computational Software System Analysis: Computational...

[[ Click on the title to access the full blog on the Cadence Community site. ]]




tool

Developing a solid DV flow : xrun wrapper tool

Hi all,

I need to develop a digital design/verification solution to compile,elaborate and simulate SV designs (basically a complex xrun wrapper). I am an experienced user of xrun and I have done a number of these wrappers over the years but this one is to be more of a tool, intented to be used Company-wise, so it needs to be very well thought and engineered.

It needs to be robust, simple and extensible. It needs to support multi-snapshot elaboration, run regressions on machine farms, collect coverage, create reports, etc.

I've been browsing the vast amount of documentation on XCELIUM and, although very good, I can't find any document which puts together all the pieces of what I am trying to achieve. I suppose I am more clear on the elaboration, compilation and simulation part but I am really lacking on the other areas like : LSF, regressions coverage, where does vManager fits in all this, etc.

I'd appreciate if someone can comment on whether there is a document which depicts how such a DV flow can be put together from scratch, or whether there is a kind of RAK with some example xrun wrapper.

Thanks




tool

ce_tools directory no longer shipped with Specman

Hello All,

starting with version 8.1 the contents of the ce_tools directory will no longer
be shipped with Specman. The directory contains some unsupported AE/R&D
ware and has not been updated for several releases (i.e. most of those old
packages don't work with the latest release).
 
Attached is the contents of this directory. Please read the README before
using any of the packages.


Regards,
-hannes


Originally posted in cdnusers.org by hannes




tool

Unable to Import .v files with `define using "Cadence Verilog In" tool

Hello,

I am trying to import multiple verilog modules defined in a single file with "`define" directive in the top using Verilog In. The code below is an example of what my file contains.

When I use the settings below to import the modules into a library, it imports it correctly but completely ignores all `define directive; hence when I simulate using any of the modules below the simulator errors out requesting these variables.

My question: Is there a way to make Verilog In consider `define directives in every module cell created? 

Code to be imported by Cadence Verilog In:

--------------------------------------------------------

`timescale 1ns/1ps
`define PROP_DELAY 1.1
`define INVALID_DELAY 1.3

`define PERIOD 1.1
`define WIDTH 1.6
`define SETUP_TIME 2.0
`define HOLD_TIME 0.5
`define RECOVERY_TIME 3.0
`define REMOVAL_TIME 0.5
`define WIDTH_THD 0.0

`celldefine
module MY_FF (QN, VDD, VSS, A, B, CK);


inout VDD, VSS;
output QN;
input A, B, CK;
reg NOTIFIER;
supply1 xSN,xRN;
buf IC (clk, CK);
and IA (n1, A, B);
udp_dff_PWR I0 (n0, n1, clk, xRN, xSN, VDD, VSS, NOTIFIER);
not I2 (QN, n0);

wire ENABLE_B ;
wire ENABLE_A ;
assign ENABLE_B = (B) ? 1'b1:1'b0;
assign ENABLE_A = (A) ? 1'b1:1'b0;

specify
$setuphold(posedge CK &&& (ENABLE_B == 1'b1), posedge A,  `SETUP_TIME, `HOLD_TIME, NOTIFIER);
$setuphold(posedge CK &&& (ENABLE_B == 1'b1), negedge A, `SETUP_TIME, `HOLD_TIME, NOTIFIER);
$setuphold(posedge CK &&& (ENABLE_A == 1'b1), posedge B, `SETUP_TIME, `HOLD_TIME, NOTIFIER);
$setuphold(posedge CK &&& (ENABLE_A == 1'b1), negedge B, `SETUP_TIME, `HOLD_TIME, NOTIFIER);
$width(posedge CK,1.0,0.0,NOTIFIER);
$width(negedge CK,1.0,0.0,NOTIFIER);
if (A==1'b0 && B==1'b0)
(posedge CK => (QN:1'bx)) = (1.0, 1.0);
if (A==1'b1 && B==1'b0)
(posedge CK => (QN:1'bx)) = (1.0, 1.0);
if (B==1'b1)
(posedge CK => (QN:1'bx)) = (1.0,1.0);

endspecify


endmodule // MY_FF
`endcelldefine

`timescale 1ns/1ps
`celldefine
module MY_FF2 (QN, VDD, VSS, A, B, CK);


inout VDD, VSS;
output QN;
input A, B, CK;
reg NOTIFIER;
supply1 xSN,xRN;
buf IC (clk, CK);
and IA (n1, A, B);
udp_dff_PWR I0 (n0, n1, clk, xRN, xSN, VDD, VSS, NOTIFIER);
not I2 (QN, n0);

wire ENABLE_B ;
wire ENABLE_A ;
assign ENABLE_B = (B) ? 1'b1:1'b0;
assign ENABLE_A = (A) ? 1'b1:1'b0;

specify
$setuphold(posedge CK &&& (ENABLE_B == 1'b1), posedge A,  `SETUP_TIME, `HOLD_TIME, NOTIFIER);
$setuphold(posedge CK &&& (ENABLE_B == 1'b1), negedge A,  `SETUP_TIME, `HOLD_TIME, NOTIFIER);
$setuphold(posedge CK &&& (ENABLE_A == 1'b1), posedge B,  `SETUP_TIME, `HOLD_TIME, NOTIFIER);
$setuphold(posedge CK &&& (ENABLE_A == 1'b1), negedge B,  `SETUP_TIME, `HOLD_TIME, NOTIFIER);
$width(posedge CK,1.0,0.0,NOTIFIER);
$width(negedge CK,1.0,0.0,NOTIFIER);
if (A==1'b0 && B==1'b0)
(posedge CK => (QN:1'bx)) = (1.0, 1.0);
if (A==1'b1 && B==1'b0)
(posedge CK => (QN:1'bx)) = (1.0, 1.0);
if (B==1'b1)
(posedge CK => (QN:1'bx)) = (1.0,1.0);

endspecify


endmodule // MY_FF2
`endcelldefine

--------------------------------------------------------

I am using the following Cadence versions:

MMSIM Version: 13.1.1.660.isr18

Virtuoso Version: IC6.1.8-64b.500.1

irun Version: 14.10-s039

Spectre Version: 18.1.0.421.isr9




tool

Can't Find Quantus QRC toolbar on the Layout Suite

Hi, 

I want my layout verified by Quantus QRC. But, I can't find the tool bar on the option list ( as show in the picture)

I have tried to install EXT182 and configured it with iscape already, and also make some path settings on .bashrc, .cshrc. But, when I re-source .cshrc and run virtuoso again, I just can't find the toolbar. 

If you have some methods, please let me know.

Thanks a lot!

Appreciated

My virtuoso version is: ICADV12.3




tool

LEADTOOLS Active-X DLL Hijacking

LEADTOOLS Active-X control suffers from multiple DLL side loading vulnerabilities.




tool

Malmon Detection Tool 0.1b

Malmon is a real-time exploit/backdoor detection tool for Linux that audits the integrity of files in a given directory.




tool

Malmon Detection Tool 0.3

Malmon is a real-time exploit/backdoor detection tool for Linux that audits the integrity of files in a given directory.




tool

Web Tools Create XSS Headaches





tool

OpenSSL Toolkit 1.1.1c

OpenSSL is a robust, fully featured Open Source toolkit implementing the Secure Sockets Layer (SSL v2/v3) and Transport Layer Security (TLS v1) protocols with full-strength cryptography world-wide.




tool

OpenSSL Toolkit 1.1.1d

OpenSSL is a robust, fully featured Open Source toolkit implementing the Secure Sockets Layer (SSL v2/v3) and Transport Layer Security (TLS v1) protocols with full-strength cryptography world-wide.




tool

OpenSSL Toolkit 1.1.1e

OpenSSL is a robust, fully featured Open Source toolkit implementing the Secure Sockets Layer (SSL v2/v3) and Transport Layer Security (TLS v1) protocols with full-strength cryptography world-wide.




tool

Hashes Generation And Injection Tool

Hashes is a cross-platform tool that generates and injects different keys with the same hash code in order to test web applications against hash collision attacks. Written in Java. Has support for Java, PHP, ASP, and V8.




tool

TOR Virtual Network Tunneling Tool 0.4.0.5

Tor is a network of virtual tunnels that allows people and groups to improve their privacy and security on the Internet. It also enables software developers to create new communication tools with built-in privacy features. It provides the foundation for a range of applications that allow organizations and individuals to share information over public networks without compromising their privacy. Individuals can use it to keep remote Websites from tracking them and their family members. They can also use it to connect to resources such as news sites or instant messaging services that are blocked by their local Internet service providers (ISPs).




tool

TOR Virtual Network Tunneling Tool 0.4.1.5

Tor is a network of virtual tunnels that allows people and groups to improve their privacy and security on the Internet. It also enables software developers to create new communication tools with built-in privacy features. It provides the foundation for a range of applications that allow organizations and individuals to share information over public networks without compromising their privacy. Individuals can use it to keep remote Websites from tracking them and their family members. They can also use it to connect to resources such as news sites or instant messaging services that are blocked by their local Internet service providers (ISPs).