act

Webinar on Environment Law Practice, Issues and the role played by NGT

Conservation - Conversation 

with Mrs. Neelam Rathore, senior advocate, best known for speaking for the trees. She has a robust practice in NGT and can be credited for a huge load of carbon offset making the lives easier for thousands. 
 
A webinar on - 
ENVIRONMENT LAWS, ISSUES and the ROLE OF NGT!
 
Date - 24th April
Time- 11 AM -12:30 PM
 




act

Contingent contracts agreed in advance attract Sec 32 and not Sec 56 of ICA

Case: NAFED v. Alimenta SAThe Supreme Court, in a recent case, held that when parties agree to in advance on contingency, which makes performance of the contract become impossible, Section 32 of Contract Act shall be applied and not Section 56.Facts




act

After Gas Leak, Employee Of Chhattisgarh Paper Factory Arrested

The operator of a paper mill in Raigarh in Chhattisgarh, where a gas leak on May 6 evening led to the hospitalisation of seven people, was arrested on Friday for criminal conspiracy and attempt to...




act

Delhi Issues Orders For Functioning Of Permitted Economic Activities

The Delhi government on Friday issued orders directing all the district magistrates and deputy commissioners of police to ensure smooth running of all economic activities permitted during the...




act

Unable to Overcome Impact Of Tragedy: Aurangabad Train Accident Survivor

A survivor of the Aurangabad train tragedy says that the disturbing images of the death of his companions in front of his eyes were haunting him and left him with the mental trauma that he will never...




act

Rajasthan Man Raped Teen, Recorded Act To Blackmail Her: Police

A 23-year-old man has been arrested for allegedly raping a girl on multiple occasions, recording the act and using it to blackmail her in Rajasthan's Jhalawar district, police said. The man was...




act

‘सुजाण नागरिक’ Factory

सव्वा वर्षांचा पंपू थोडा अडखळत, थोडा चालत, थोडा रांगत घरभर फिरायचा




act

The Covid-19’s impact on start-ups: Make use of the opportunity the coronavirus has provided

There are sectors that have benefited immensely on account of Covid-19-induced work-from-home compulsion. Some, like healthcare providers, pharmaceuticals and medical equipment start-ups have seen a direct bump in their revenues.




act

Tech tactics: Innovation in the fast lane to fight COVID-19 outbreak

IIT Roorkee incubated startups are developing innovative devices to tackle the COVID-19 crisis




act

Manufacturing: What India needs to do post-Covid-19

Getting big-ticket investments would be challenging; focus on investments that are modest in size and low in resource demands.




act

Lockdown impact: STEPapp gets 3 lakh new users

The gamified learning app for school students to be relaunched in all subjects.




act

IIT-M start-up develops unique coating material to ‘inactivate’ coronavirus

The Indian Institute of Technology-Madras’ (IIT-M) incubated start-up Muse Wearables has developed new methods for coating textiles with nanoparticles-based antimicrobial agents that can ‘inactivate’ the human coronavirus on contact.




act

DHFL resolution: CoC to meet on May 12 to discuss COVID-19 impact

Lenders will get an update on the progress of transaction audit report by Grant Thornton on May 12, as per the agenda of the meeting.




act

Indian exchanges aim to attract overseas rupee trading volumes onshore

Finance minister Nirmala Sitharaman inaugurated the rupee-dollar derivatives trading on the two exchanges on Friday.




act

Job cuts, zero production activity due to lockdown: What the Centre must do now

A fully Keynesian approach will need to be taken by the government. People are losing jobs and production activity has come to a standstill due to the lockdown.




act

Express Entry Immigration Option and Facts about Principal Applicants

We present the facts of Express Entry as an option for candidates who have credentials that are similar to the credentials of Meghan and Harry. Their qualifying for permanent residence in Canada is a matter of discussion. There is news about the potential…




act

Nadal: 'I see 2020 as practically lost' for tennis

Rafael Nadal has said he views the rest of this year as "practically lost" for tennis and would even be relieved if the sport returned as normal at the start of 2021.




act

Tapas Pal Could Never Shake Off Character He Played In His First Film

Bengali actor-politician Tapas Pal, who died of a cardiac arrest at 61, will be remembered as Kedar Chatterjee. That is the name of the character the actor and former Trinamool Congress lawmaker...




act

Pandemic slams Asia's factories, activity hits financial crisis lows

Asia's factory activity was ravaged in April, business surveys showed Monday, and the outlook dimmed further as government restrictions on movement to contain the coronavirus outbreak froze global production and slashed demand.




act

A Glimpse Of Actor Devika Bhise's Fun-Filled Udaipur Wedding. Watch

While Devika Bhise had earlier delighted fans by sharing a few snaps from the wedding day and pre-wedding functions on Instagram, designer Anita Dongre took to Instagram this morning to give them...





act

LG’s “mid-range’ Velvet smartphone announced, but it’s not exactly cheap

LG has officially taken the wraps off its latest handset, the LG Velvet. For a phone designed with mid-range specs, we have to say that its asking price is a little disappointing.




act

RBI asks banks to have internal audit of outsourcing activities

Banks to have a robust system of internal audit of all outsourced activities




act

17 Amazing Facts About Cricket That You Never Knew

World Cup Special - 17 Amazing Facts About Cricket That You Most Definitely Never Knew




act

International Yoga Day-15 Amazing Facts

International Day of Yoga- 15 Amazing Facts About Yoga You Probably Never Knew




act

Brexit from European Union will have global impact

Brexit from European Union will have global impact




act

Actress Charlize Theron Unveils Stars Joining Her Fight Against Domestic Abuse

[Thomson Reuters Foundation] Mexico City -The campaign includes 50 female celebrities from actress Reese Witherspoon to soccer player Megan Rapinoe




act

F1 behind closed doors - how exactly will that work?

All you need to know about F1's plans to start the season with behind-closed-doors races in Europe this July, including numbers required and what another positive Covid-19 test would do to the hopes of completing a full championship year.




act

Baez: Pandemic put Cubs contract talks on hold

The coronavirus pandemic has put on hold contract-extension talks between All-Star shortstop Javier Baez and the Chicago Cubs.




act

Hundreds exposed to gas after deadly leak at Indian chemical factory

Gas from LG Polymers plant in Andhra Pradesh leaked into nearby homes while families slept

At least 11 people have been killed and hundreds more taken to hospital after a gas leak at a chemical factory in south-east India.

A plastics plant owned by South Korea’s LG Corp started leaking styrene into the surrounding residential area at about 3am on Thursday. Some people were enveloped as they slept, while others collapsed in the streets as they tried to flee the area on the outskirts of the coastal city of Visakhapatnam.

Related: 'Bhopal’s tragedy has not stopped': the urban disaster still claiming lives 35 years on

Continue reading...




act

[Football] Indian Football Will Be Ready For Action Away at Tabor College

It will be an away game this weekend for the Indians(0-1) against Tabor College(1-1) in Hillsboro Kansas. Kick-off is at 7:00pm on Saturday the 15th.




act

[Haskell Indians] Haskell Athletics Hosts Champions of Character Event to Help Kick off ...




act

DAC 2015: Google Smart Contact Lens Project Stretches Limits of IC Design

There has been so much hype about the “Internet of Things” (IoT) that it is refreshing to hear about a cutting-edge development project that can bring concrete benefits to millions of people. That project is the ongoing development of the Google Smart Contact Lens, and it was detailed in a keynote speech June 8 at the Design Automation Conference (DAC 2015).

The keynote speech was given by Brian Otis (right), a director at Google and a research associate professor at the University of Washington. The “smart lens” that the project envisions is essentially a disposable contact lens that fits on an eye and continuously monitors blood glucose levels. This is valuable information for anyone who has, or may someday have, diabetes.

Since he was speaking to an engineering audience, Otis focused on the challenges behind building such a device, and described some of the strategies taken by Google and its partner, Novartis. The project required new approaches to miniaturization, low-power design, and connectivity, as well as a comfortable and reliable silicon-to-human interface. Otis discussed the “why” as well and showed how the device could potentially save or improve millions of lives.

Millions of Users

First, a bit of background. Google announced the smart lens project in a blog post in January 2014. Since then it has been featured in news outlets including Forbes, Time, and the Wall Street Journal. In March 2015, Time reported that Google has been granted a patent for a smart contact lens.

The smart lens monitors the level of blood glucose by looking at its concentration in tears. The lens includes a wireless system on chip (SoC) and a miniaturized glucose sensor. A tiny pinhole in the lens allows tear fluid to seep into the sensor, and a wireless antenna handles communications to the wireless devices.

“We figure that if we can solve a huge problem, it is probably worth doing,” Otis said. “Diabetes is one example.” He noted 382 million people worldwide have diabetes today, and that 35% of the U.S. population may be pre-diabetic. Today, diabetics must *** their fingers to test blood glucose levels, a procedure that is invasive, painful, and subject to infrequent monitoring.

According to Otis, the smart contact lens represents a “new category of wearable devices that are comfortable, inexpensive, and empowering.” The lens does sensor data logging and uses a portable instrument to measure glucose levels. It is thin, cheap, and disposable, he said.

Moreover, the lens is not just for people already diagnosed with diabetes—it’s for anyone who is pre-diabetic, or may be at risk due to genetic predisposition. “If we are pro-active rather than re-active,” Otis said, “Instead of waiting until a person has full-fledged diabetes, we could make a huge difference in peoples’ lives and lower the costs of treating them.”

Technical Challenges

No one has built anything quite like the smart lens, so researchers at Google and Novartis are treading new ground. Otis identified three key challenges:

  • Miniaturization: Everything must be really small—the SoC, the passive components, the power supply. Components must be flexible and cheap, and support thin-film integration.
  • Platform: Google has developed a reusable platform that includes tiny, always-on wireless sensors, ultra low-power components, and standards-based interfaces.
  • Data: Researchers are looking for the best ways to get the resulting data into a mobile device and onto the cloud.

Comfort is another concern. “This is not intended to be for the most severe cases,” Otis said. “This is intended to be for all of us as a pro-active way of improving our lifestyles.”

The platform provides a bidirectional encrypted wireless link, integrated power management, on-chip memory, standards-based RFID link, flexible sensor interface, high-resolution potentiostat sensor, and decoupling capacitors. Most of these capabilities are provided by the standard CMOS SoC, which is a couple hundred microns on a side and only “tens of microns” thick.

Otis noted that unpackaged ICs are typically 250 microns thick when they come back from the foundry. Thus, post-processing is needed so the IC will fit into a contact lens.

Furthermore, the design requires precision analog circuitry and additional environmental sensors. “Some of this stuff sounds mundane but it is really hard, especially when you find out you can’t throw large decoupling capacitors and bypass capacitors onto a board, and all that has to be re-integrated into the chip,” Otis said.

Sensor Challenges

Getting information from the human body is challenging. The smart lens sensor does a direct chemical measurement on the surface of the eye. The sensor is designed to work with very low glucose concentrations. This is because the concentration of glucose in tears is an order of magnitude lower than it is in blood.

In brief, the sensor has two parallel plates that are coated with an enzyme that converts glucose into hydrogen peroxide, which flows around the electrodes of the sensor. This is actually a fairly standard way of doing glucose monitoring. However, the smart lens sensor has two electrodes compared to the typical three.

In manufacturing, it is essential to keep costs low. Otis outlined a three-step manufacturing process:

  • Start with the bottom layer, and mold a contact lens in the way you typically would.
  • Add the electronics package on top of that layer.
  • Build a second layer that encapsulates the electronics and provides the curvature needed for comfort and vision correction.

Beyond the technical challenges are the “clinical” challenges of working with human beings. The human body “is messy and very variable,” Otis said. This variability affects sensor performance and calibration, RF/electro-magnetic performance, system reliability, and comfort.

The final step is making use of the data. “We need to get the data from the device into a phone, and then display it so users can visualize the data,” Otis said. This provides “actionable feedback” to the person who needs it. Eventually, the data will need to be stored in the cloud.

As he concluded his talk, Otis noted that the platform his group developed may have many applications beyond glucose monitoring. “There is a lot you can do with a bunch of logic and sensing capability,” he said, “and there are hundreds of biomarkers beyond glucose.” Clearly this will be an interesting technology to watch.

Richard Goering

Related Blog Post

Gary Smith at DAC 2015: How EDA Can Expand Into New Directions




act

Quantus Qrc Extraction of a block

I have completed physical design of a block in innovus. I want to extract rc of that block using quantus .  It will be very helpful if you give step by step procedure and command to run quantus to extract rc of that block.




act

Interaction between Innovus and Virtuoso through OA database

Hello,

I created a floorplan view in Virtuoso ( it contains pins and blockages). I am trying to run PnR in Innovus for floorplan created in Virtuoso. I used  set vars(oa_fp)    "Library_name cell_name view_name"   to read view from virtuoso. I am able to see pins in Innovus but not the blockages. Can i know how do i get the blockages created in virtuoso to Innovus.

Regards,
Amuu 




act

Library Characterization Tidbits: Recharacterize What Matters - Save Time!

Recently, I read an article about how failure is the stepping stone to success in life. It instantly struck a chord and a thought came zinging from nowhere about what happens to the failed arcs of a...

[[ Click on the title to access the full blog on the Cadence Community site. ]]




act

BoardSurfers: Training Insights: Creating Custom Reports using ‘Extract’

You must deal with many reports in your daily life – for your health, financial accounts, credit, your child’s academic records, and the count goes on. Ever noticed that these reports contain many details, most of which you don’t wa...(read more)



  • Allegro PCB Editor

act

netlist extraction from assembler in cadence virtuoso

Hello , i am trying to extract netlist from a circuit  in assembler

I have found the manual shown bellow , however there is no such option in tools in assembler.

how do i view the NETLIST of this circuit?

Thanks.



ASSEMBLER VIEW menu




act

gm of an active mixer

Hi all,

What is the most accurate way to simulate the gm of  RF transistors (RF stage) of an active mixer (single balanced or Gilbert cell)?

I tried to simulate it with many ways such as:

1. DC annotation (but of course its incorrect due to the switching operation of the mixer)

2. d(i_ds)/d(v_gs) using HB analysis and then taking the value at zero (since it is a DC characteristic). In this way I chose in the simulator results of HB: Voltage, spectrum, rms, magnitude. 

3. Using the OP, OPT buttons in the calculator and then extracting the gm of the transistor. 

The problem is that each way gives a different value which makes the procedure of designing an active mixer very difficult. In addition, when I simulate the voltage conversion gain of the active mixer and trying to compare it to the formula (2/pi)*gm*RL (either in linear or dB), I get numbers which are way too far from simulations. I understand that I would not get the same results but not different by hundreds percent. 

I see in many publications that people are plotting graphs of mixer's gm vs. different parameters and starting to doubt whether the results are correct.

I would appreciate any help,

Thanks in advance




act

extracting s2p file

Hello, i managed to extract my S-param data into vcsv file,however i need a standart S2P file

i have this table displayed, as shown bellow.
is there a way to extract s2p file in cadence virtuoso?
Thanks.




act

axlDBTextBlockCompact(nil)

I am trying to understand why axlDBTextBlockCompact(nil) on my test case says it can compact the text blocks down to 38, whereas I find only a total of 26 unique text block references in axlDBGetDesign()->text, axlDBGetDesign()->symbols and axlDBGetDesign()->symdefs. Where else are text blocks used besides these three?




act

IMC : fsm coding style not auto extracted/Identified by IMC

Hi,

I've vhdl block containing fsm . IMC not able to auto extract the state machine coded like this:

There is a intermediate state state_mux  between next_state & state.

Pls. help in guiding IMC how to recognize this FSM coding style? 

 

Snipped of the fsm code:

----------------------------------------------------------------------------------------------------------------------------------------------

               type state_type is (ST_IDLE, ST_ADDRESS, ST_ACK_ADDRESS, ST_READ, ST_ACK_READ, ST_WRITE, ST_ACK_WRITE, ST_IDLE_BYTE);

               signal state : state_type;

               signal state_mux : state_type;

               signal next_state : state_type;

process(state_mux, start)

         begin

               next_state <= state_mux;

               next_count <= (others => '0');

           case (state_mux) is

                 when ST_IDLE => 

                            if(start = '1') then

                                 next_state <= ST_ADDRESS;

                              end if;

            when ST_ADDRESS =>

   …………….

          when others => null;

         end case;

     end process;

 

process(scl_clk_n, active_rstn)

               begin

                      if(active_rstn = '0') then

                           state <= ST_IDLE after delay_f;

                  elsif(scl_clk_n'event and scl_clk_n = '1') then

                             state <= next_state after delay_f;

                            end if;

end process;

 

process(state, start)

               begin

                     state_mux <= state;

               if(start = '1') then

                       state_mux <= ST_IDLE;

                              end if;

               end process;

Thanks

Raghu




act

OVM transactions in simvision

 Hi,

I'm using OVM transaction level tracing in SV. I was wondering if I can have simvision render different types of transactions with different colors e.g. based on a transaction attribute. I know how to do it at signal level using mnemonics but I haven't succeeded doing this at transaction level. Anyone?

 -Joep




act

Extracting 1dB bandwidth from parametric sweep-DFT results

Hi all,

I am using ADE assembler.

I ran transient simulation and swept the input frequency (Fin) of the circuit. And I use Spectrum Measurement to return a value of the fundamental tone magnitude (Sig_fund) for each sweep point. 

Previously, I use "plot across design points" to plot both "Fin" and "Sig_fund", and then use "Y vs Y" to get a waveform of Sig_fund vs Fin. Measure the 1dB Bandwidth with markers. 

Can I realized above measurement with an expression in "output setup" ? And how?

I know to set the "Eval type" to "sweep" to process the data across sweep points. But here, it has to return an interpolated value from "Fin" with a criteria "(value(calcVal("Sig_fund"  0) - 1)". I am not sure whether it can be done in ADE assembler.

Thanks and regards,

Yutao




act

ISF Function Extraction in Cadence Virtuoso

Hi all,

Is there any tutorial which explains the process of plotting the ISF function for a certain oscillator ?

Thank you.




act

Wrong Constraint Values in Sequential Cell Characterization

Hi,

I am trying to characterize a D flip-flop for low voltage operation (0.6V) using Cadence Liberate (V16). This is a positive edge triggered D flip flop based on true-single-phase clocking scheme. After the characterization, the measurements reported for hold constraint arcs seem to deviate significantly from its (spectre) spice simulation.

The constraint and the power settings to the liberate are as follows : 

# -------------------------------------------- Timing Constraints --------------------------------------------------------------------------------
### Input waveform ###
set_var predriver_waveform 2;# 2=use pre-driver waveform
### Capacitance ###
set_var min_capacitance_for_outputs 1;# write min_capacitance attribute for output pins
### Timing ###
set_var force_condition 4
### Constraint ###
set_var constraint_info 2
#set_var constraint_search_time_abstol 1e-12 ;# 1ps resolution for bisection search
set_var nochange_mode 1 ;# enable nochange_* constraint characterization
### min_pulse_width ###
set_var conditional_mpw 0
set_var constraint_combinational 2


#---------------------------------------------- CCS Settings ----------------------------------------------------------------------------------------
set_var ccsn_include_passgate_attr 1
set_var ccsn_model_related_node_attr 1
set_var write_library_is_unbuffered 1

set_var ccsp_min_pts 15 ;# CCSP accuracy
set_var ccsp_rel_tol 0.01 ;# CCSP accuracy
set_var ccsp_table_reduction 0 ;# CCSP accuracy
set_var ccsp_tail_tol 0.02 ;# CCSP accuracy
set_var ccsp_related_pin_mode 2 ;# use 3 for multiple input switching scnarios and Voltus only libraries


#----------------------------------------------- Power ---------------------------------------------------------------------------------------------------
### Leakage ###
set_var max_leakage_vector [expr 2**10]
set_var leakage_float_internal_supply 0 ;# get worst case leakage for power switch cells when off
set_var reset_negative_leakage_power 1 ;# convert negative leakage current to 0

### Power ###
set_var voltage_map 1 ;# create pg_pin groups, related_power_pin / related_ground_pin
set_var pin_based_power 0 ;# 0=based on VDD only; 1=power based on VDD and VSS (default);
set_var power_combinational_include_output 0 ;# do not include output pins in when conditions for combinational cells

set_var force_default_group 1
set_default_group -criteria {power avg} ;# use average for default power group

#set_var power_subtract_leakage 4 ;# use 4 for cells with exhaustive leakage states.
set_var subtract_hidden_power 2 ;# 1=subtract hidden power for all cells
set_var subtract_hidden_power_use_default 3 ;# 3=subtract hidden power from matched when condition then default group
set_var power_multi_output_binning_mode 1 ;# binning for multi-output cell considered for both timing and power arcs
set_var power_minimize_switching 1
set_var max_hidden_vector [expr 2**10]
#--------------------------------------------------------------------------------------------------------------------------------------------------------------

I specifically used set_var constraint_combinational 2 in the settings, in case the Bisection pass/fail mode fails to capture the constraints. In my spice simulation, the hold_rise (D=1, CLK=R, Q=R) arc at-least requires ~250 ps for minimum CLK/D slew combination (for the  by default smallest capacitive load as per Liberate)  while Liberate reports only ~30 ps. The define_cell template to this flip flop is pretty generic, which does not have any user specified arcs. So which settings most likely affecting the constraint measurements in Liberate and how can I debug this issue ?

Thanks

Anuradha




act

Importing a capacitor interactive model from manufacturer

Hello,

I am trying to import (in spectre) an spice model of a ceramic capacitor manufactured by Samsung EM. The link that includes the model is here :-

http://weblib.samsungsem.com/mlcc/mlcc-ec.do?partNumber=CL05A156MR6NWR

They proved static spice model and interactive spice model.

I had no problem while including the static model.

However, the interactive model which models voltage and temperature coefficients seems to not be an ordinary spice model. They provide HSPICE, LTSPICE, and PSPICE model files and I failed to include any of them.

Any suggestions ?




act

Different Extracted Capacitance Values of the Same MOM Cap Structures Obtained from Quantus QRC Filed Solver

Hello,

 

I am using Virtuoso 6.1.7.

 

I am performing the parasitic extraction of a MOM cap array of 32 caps. I use Quantus QRC and I enable field solver. I select “QRCFS” for field solver type and “High” for field solver accuracy. The unit MOM cap is horizontally and vertically symmetric. The array looks like the sketch below and there are no other structures except the unit caps:

Rationally speaking, the capacitance values of the unit caps should be symmetric with respect to a vertical symmetry axis that is between cap16 and cap17 (shown with dashed red line). For example,

the capacitance of cap1 should be equal to the capacitance of cap32

the capacitance of cap2 should be equal to the capacitance of cap31

etc. as there are no other structures around the caps that might create some asymmetry.

Nevertheless, what I observe is the following after the parasitic extraction:

As it can be seen, the result is not symmetric contrary to what is expected. I should also add that I do not observe this when I perform parasitic extraction with no filed solver.

Why do I get this result? Is it an artifact resulting from the field solver tool (my conclusion was yes but still it must be verified)? If not, how can something like this happen?

 

Many thanks in advance.

 

Best regards,

Can




act

Library Characterization Tidbits: Over the Clouds and Beyond with Arm-Based Graviton and Cadence Liberate Trio

Cadence Liberate Trio Characterization Suite, ARM-based Graviton Processors, and Amazon Web Services (AWS) Cloud have joined forces to cater to the High-Performance Computing, Machine Learning/Artificial Intelligence, and Big Data Analytics sectors. (read more)




act

Library Characterization Tidbits: Exploring Intuitive Means to Characterize Large Mixed-Signal Blocks

Let’s review a key characteristic feature of Cadence Liberate AMS Mixed-Signal Characterization that offers to you ease of use along with many other benefits like automation of standard Liberty model creation and improvement of up to 20X throughput.(read more)