how How to write Innovus Gui command to a cmd/log file? By feedproxy.google.com Published On :: Tue, 07 Apr 2020 03:07:13 GMT HI, I have been using the Innovus GUI commands for several things and wonder if those command can be written to a log or cmd file so I can use it in my flow script? Is there such options that we can set? Thanks Full Article
how How to place pins inside of the edge in Innovus By feedproxy.google.com Published On :: Fri, 10 Apr 2020 04:02:08 GMT Hi, I am doing layout for a mixed-signal circuit in Innovus. I want to create a digital donut style of layout (i.e. put analog circuit in the middle, and circle analog part with digital circuits). To do that, I need to place some pins inside the edge to connect to analog circuit (as shown in my attachment), but the problems is that I cannot place pins inside the edge by using "pin editor" within Innovus. Any suggestions to place pins inside? Thank you so much for your time and effort. Full Article
how How to customize default_hdl_checks/rules in CCD conformal constraint designer By feedproxy.google.com Published On :: Tue, 03 Sep 2019 08:12:48 GMT Dear all, I am using Conformal Constraint Designer (Version 17.1) to analyse a SystemVerilog based design. While performing default HDL checks it finds some violations (issues) in RTL and complains (warnings, etc) about RTL checks and others. My questions: Is there any directive which I can add to RTL (system Verilog) so that particular line of code or signal is ignored or not checked for HDL or RTL checks. I can set ignore rules in rule manager (gui) but it does not seems effective if code line number changes or new signals are introduced. What is the best way to customize default_hdl_rules ? I will be grateful for your guidance. Thanks for your time. Full Article
how How to dump waveform, fsdb in SimVision? By feedproxy.google.com Published On :: Thu, 09 Jan 2020 02:30:31 GMT As title, How to dump waveform, fsdb in SimVision? (Simulation Analysis Environment SimVision(64) 18.09-s001)Please help. Thanks. Full Article
how How to Specify Phase Noise as an Instance Parameter in Spectre Sources (e.g. vsource, isource, Port) By feedproxy.google.com Published On :: Wed, 21 May 2014 00:33:00 GMT Last year, I wrote a blog post entitled Modeling Oscillators with Arbitrary Phase Noise Profiles . We now have an easier way to do this. Starting in MMSIM 13.1 , you can specify the phase noise as an instance parameter in Spectre sources, including...(read more) Full Article Spectre RF phase noise spectreRF analogLib port noise profiles
how 7 Habits of Highly Successful S-Parameters: How to Simulate Those Pesky S-Parameters in a Time Domain Simulator By feedproxy.google.com Published On :: Tue, 16 May 2017 20:11:02 GMT Hello Spectre Users, Simulating S-parameters in a time domain (transient, periodic steady state) simulator has been and continues to be a challenge for many analog and RF designers. I'm often asked: What is required in order to achieve accurate...(read more) Full Article S-parameter Spectre RF Spectre International Microwave Symposium
how Link to: 7 Habits of Highly Successful S-Parameters: How to Simulate Those Pesky S-Parameters in a Time Domain Simulator By feedproxy.google.com Published On :: Thu, 06 Jul 2017 22:18:34 GMT Hi All, If you were unable to attend IMS 2017 in June 2017, the IMS MicroApp “7 Habits of Highly Successful S-Parameters” is on our Cadence website. On Cadence Online Support , the in-depth AppNote is here: 20466646 . Best regards, Tawna...(read more) Full Article nport analog/RF APS S-parameter Virtuoso Spectre Spectre RF broadband SPICE nport settings RF spectre spectreRF spectreRF s parameter simulation
how Triple Beat Analysis: What, Why & How? By feedproxy.google.com Published On :: Thu, 30 Nov 2017 09:04:00 GMT The Triple Beat analysis is similar to Rapid IP2/IP3 analysis except that it uses three tones instead of two. It is used in cases where two closely-spaced small-signal inputs from a transmitter leak in to the receiver along with an intended small-signal RF input signal. (read more) Full Article Virtuoso ADE Virtuoso Spectre RF design
how How to Set Up and Plot Large-Signal S Parameters? By feedproxy.google.com Published On :: Mon, 04 Dec 2017 09:23:00 GMT Large-signal S-parameters (LSSPs) are an extension of small-signal S-parameters and are defined as the ratio of reflected (or transmitted) waves to incident waves. (read more) Full Article RF Simulation Spectre RF Virtuoso ADE Virtuoso
how How to get test name from test session object? By feedproxy.google.com Published On :: Thu, 30 Apr 2020 07:04:23 GMT Hi, I have a test session object that I am getting like this: maeTstSession=maeGetTestSession(test ?session session) Is it possible to get the test name from this object? I am asking because this object passed to several levels of functions and I don't want to pass an additional argument with the test name Full Article
how How can I make a SKILL procedure not callable? By feedproxy.google.com Published On :: Fri, 01 May 2020 19:57:35 GMT Inside the scope of isCallable there is code which I don't want to be executed. The procedure named in isCallable to-day is callable. I want to make that procedure so it cannot be called. How do I do that? I can't change the isCallable line or the scope. I want to change its behavior by making sure that the procedure does not exist (obviously this would be done before the code is executed). Full Article
how How to save the cellview of all instances in a top cell faster? By feedproxy.google.com Published On :: Wed, 06 May 2020 06:47:41 GMT I have a top cell & need to revise all the instances' cellview & export top cell as a new GDS file. So I write a SKILL code to do so and I find out it will be a little bit slow by using the dbSave to save the cellview of each instance. Code as below: let( (topCV subCV ) topCV = dbOpenCellViewByType(newLibName topCellName "layout" "maskLayout" "a") foreach(inst topCV->instances subCV = dbOpenCellViewByType(newLibName inst->cellName "layout" "maskLayout" "a") ;;;revise code content ;;;... ;;;revise code content dbSave(subCV) dbClose(subCV) ) dbSave(topCV) dbClose(topCV) system(strcat( "strmout -library " newLibName " -topCell " topCellName " -view layout -strmFile " resultFolder "/" topCellName ".gds -techLib " srcLibName " -enableColoring -logFile " topCellName "_strmOut.log" ) ) ) Even if the cell content is not revised, the run time of dbSave will be 2 minutes when there are ~ 1000 instances in topcell. The exported GDS file size is ~2MB. And the dbSave becomes the bottle neck of the code runtime... Is there any better way to do such a thing? Full Article
how How to get m0 layer info in a layout By feedproxy.google.com Published On :: Wed, 06 May 2020 11:27:53 GMT HI All, I am new to skill. My requirement is open layout get m0 layer cordinates in a layout dump info into a text file For example 2 input Nand, A,B output , vcc , vssx and internal net (n2) will be the m0 layers. I need info like in a text file. n2 co ordinate vssx (co ordinate) a (co ordinate) b (co ordinate ) . I found similar code in cadence form . Can you help me on this procedure(printPts()let( (type (cnt 0) (objList geGetSelSet()))foreach(obj objList ++cnt type = obj~>objType case(type ("inst" printf("%s %L at %L " type obj~>xy)) ("rect" printf("%s on layer %L at %L " type obj~>lpp obj~>bBox)) ("polygon" printf("%s on layer %L at %L " type obj~>lpp obj~>points)) ("path" printf("%s on layer %L at %L " type obj~>lpp obj~>points)) ("pathSeg" printf("%s on layer %L at %L " type obj~>lpp list(obj~>beginPt obj~>endPt))) ("label" printf("%s on layer %L at %L " type obj~>lpp obj~>xy)) (t printf("%s not defined " type)) ))printf("%n objects selected " cnt)); end of let); end of printPts Full Article
how skill ocean: how to get instances of type hisim_hv from simulation results? By feedproxy.google.com Published On :: Fri, 08 May 2020 20:46:12 GMT Hi there, I'm running a transient simulation, and I want to get all instances with model implementation hisim_hv because after that I want to process the data and to adjust some parameters for this kind of devices before dumping the values. What is the easiest/fastest way to get those instances in skill/ocean? What I did until now: - save the final OP of the simulation and then in skill openResults()selectResults('tranOp)report(?type "hisim_hv" ?param "vgs") Output seems to be promising, and looks like I can redirect it to a file and after that I have to parse the file. Is there other simple way? I mean to not save data to file and to parse it. Eventually having an instance name, is it possible to get the model implementation (hsim_hv, bsim4, etc..)? Best Regards, Marcel Full Article
how How to check a cluster of same net vias spacing, with have no shape or cline covered By feedproxy.google.com Published On :: Fri, 14 Feb 2020 04:12:15 GMT Hi all, I have a question regarding the manufacture : how to check a cluster of same net vias spacing, with have no shape or cline covered Full Article
how How to install PLL Macro Model Wizard? By feedproxy.google.com Published On :: Tue, 10 Mar 2020 04:13:35 GMT Hello, I am using virtuoso version IC 6.1.7-64b.500.1, and I am trying to follow the Spectre RF Workshop-Noise-Aware PLL Design Flow(MMSIM 7.1.1) pdf. I could find the workshop library "pllMMLib", but I cannot find PLL Macro Model Wizard, and I attached my screen. Could you please help me install the module "PLL Macro Model Wizard"? Thanks a lot! Full Article
how How to force the garbage collection By feedproxy.google.com Published On :: Thu, 05 Mar 2020 03:31:57 GMT I have a script to handle many polys in memory in allegro. But after the completion of the script, I run the axlPolyMemUse(), it reports (31922 0 0 55076 252482) Seems too many polys are still in the memory,and they are not being used. So how to delete these polys from the memory? And reclaim the memory? BTW. I have no skill dev license. So gc() function doesn't work. Thanks. Full Article
how How to reload a SKILL-script in Allegro By feedproxy.google.com Published On :: Thu, 02 Apr 2020 06:26:49 GMT I am working on some SKILL scripts which are loaded by allegro.ilinit at startup. If I edit my .il-files how do I get them updated in Allegro? Right now I restart the program but there must be a simpler way. A newbie question, I know... Full Article
how How to call a skil file in the other skill file to create one new function. By feedproxy.google.com Published On :: Mon, 20 Apr 2020 11:05:56 GMT Hi guys, eDave, I need to call (replay) a skill to combine some skills to ONE UI for more convenience and using as more easier. Please help me to find the command to execute this.(code for example as more good) HT, Full Article
how How to get the location of Assembly Line By feedproxy.google.com Published On :: Tue, 21 Apr 2020 11:41:14 GMT Hi I'm trying to find the location of the assembly line in the design automatically without using "Show Element". And also I want to find the end points of that line. The line exists in "Package Geometry/Assembly_Top" Layer. So is there any code snippet to find the location of assembly line? Full Article
how How do we use the concept of Save and Restore during real developing(debugging)???/ By feedproxy.google.com Published On :: Thu, 26 Dec 2019 11:41:39 GMT Hi All, I'm trying to understand checkpoint concept. When I found save and restart concept in cdnshelp, There is just describing about "$save" and "xrun -r "~~~". and I found also the below link about save restart and it saves your time. But I can't find any benefits from my experiment from save&restart article( I fully agree..the article) Ok, So I'v got some experiment Here. 1. I declared $save and got the below result as I expected within the simple UVM code. In UVM code... $display("TEST1");$display("TEST2");$save("SAVE_TEST");$display("TEST3");$display("TEST4"); And I restart at "SAVE_TEST" point by xrun -r "SAVE_TEST", I've got the below log xcelium> runTEST3TEST4 Ok, It's Good what I expected.(The concept of Save and Restore is simple: instead of re-initializing your simulation every time you want to run a test, only initialize it once. Then you can save the simulation as a “snapshot” and re-run it from that point to avoid hours of initialization times. It used to be inconvenient. I agree..) 2. But The Problem is that I can't restart with modified code. Let's see the below example. I just modified TEST5 instead of "TEST3" $display("TEST1");$display("TEST2");$save("SAVE_TEST");$display("TEST5"); //$display("TEST3");$display("TEST4"); and I rerun with xrun -r "SAVE_TEST", then I've got the same log xcelium> runTEST3TEST4 There is no "TEST5". Actually I expected "TEST5" in the log.From here We know $save can't support partially modified code after $save. Actually, through this, we can approach to our goal about saving developing time. So I want to know Is there any possible way that instead of re-initializing our simulation every time we want to run a test, only initialize it once and keep developing(debugging) our code ? If we do, Could you let me know the simple example? Full Article
how How to run a regressive test and merge the ncsim.trn file of all test into a single file to view the waveform in simvision ? By feedproxy.google.com Published On :: Mon, 13 Jan 2020 12:04:01 GMT Hi all, I want to know how to run a regressive test in cadence and merge all ncsim .trn file of each test case into a single file to view all waveform in simvision. I am using Makefile to invoke the test case. eg:- test0: irun -uvm -sv -access +rwc $(RTL) $(INTER) $(PKG) $(TOP) $(probe) +UVM_VERBOSITY=UVM_MEDIUM +UVM_TESTNAME=test0 test1: irun -uvm -sv -access +rwc $(RTL) $(INTER) $(PKG) $(TOP) $(probe) +UVM_VERBOSITY=UVM_MEDIUM +UVM_TESTNAME=test1 I just to call test0 followed by test1 or parallel both test and view the waveform for both tests case. I new to this tool and help me with it Full Article
how How to refer the library compiled by INCISIVE 13.20 in Xcelium 19.30 By feedproxy.google.com Published On :: Wed, 19 Feb 2020 08:56:22 GMT Hi, I am facing this elaboration error when using Xcelium: Command> xmverilog -v200x +access+r +xm64bit -f vlist -reflib plib -timescale 1ns/1ps Log> xmelab: *E,CUVMUR (<name>.v,538|18): instance 'LUTP0.C GLAT3' of design unit 'tlatntscad12' is unresolved in 'worklib.LUTP0:v'. I guess the plib was not referred to as the simulation configuration because the tlatntscad12 is included in plib. The plib is compiled by INCISIVE 13.20 and I am using the Xcelium 19.30. Please tell me the correct command on how to refer to the library directory compiled by different versions. Thank you, Full Article
how How to remove sessions from vManager without deleting them By feedproxy.google.com Published On :: Mon, 02 Mar 2020 23:35:09 GMT I am importing sessions which are run by other people to analyse and I would like to remove them from my vManager Regressions tab as they become obsolete. As I am not the original person who run the sims, I cannot "delete" sessions. What are my options? Thanks. Full Article
how How to get product to license feature mapping information? By feedproxy.google.com Published On :: Wed, 06 May 2020 03:45:06 GMT When I run simulation with irun, it may use may license features. How can I know which feature(s) a product use? I get below message in cdnshelp: ------------------------------------------------------------- Which Products Are in the License File? One Cadence product can require more than one license (FEATURE). The product to feature mapping in the license file lists the licenses each product needs. For example, if the license file lists these features for the NC-VHDL Simulator: Product Name: Cadence(R) NC-VHDL Simulator# Type: Floating Exp Date: 31-jul-2006 Qty: 1# Feature: NC_VHDL_Simulator [Version: 9999.999]# Feature: Affirma_sim_analysis_env [Version: 9999.999] ------------------------------------------------------------------- But, in my license file, I can't find such info. There is only "FEATURE" lines in my license file. How can I get product to feature mapping info? Thanks! Full Article
how IC Packagers: Time-Saving Alternatives to Show Element By feedproxy.google.com Published On :: Tue, 14 Apr 2020 15:04:00 GMT In the Allegro back-end layout products like Allegro Package Designer Plus, it would be reasonable to assume that the most often used command is none other than “show element” (shortcut key F4). This command, runnable at nearly any t...(read more) Full Article Allegro Package Designer Allegro PCB Editor
how how to add section info to extsim_model_include? By feedproxy.google.com Published On :: Wed, 22 Apr 2020 22:12:45 GMT i had encountered error message like this before. but in liberate, i did not find the entry to input section info. Full Article
how Arduino: how to save the dynamic memory? By feedproxy.google.com Published On :: Wed, 06 Nov 2019 07:25:31 GMT When the Arduino Mega2560 is added to the first serial port, the dynamic memory is 2000 bytes, and when the second serial serial is added, the dynamic memory is 4000 bytes. Now I need to add the third Serial serial port. The dynamic memory is 6000 bytes. Due to the many variables in the program itself, the dynamic memory is not enough. Please help me how to save the dynamic memory? Full Article
how Virtuoso Meets Maxwell: What About My Die That Has No Bumps, Only Pad Shapes? How Do I Export That? By community.cadence.com Published On :: Mon, 06 Apr 2020 13:35:00 GMT If you have one of those Die layouts, which doesn’t have bumps, but rather uses pad shapes and labels to identify I/O locations, then you might be feeling a bit left out of all of this jazz and tango. Hence, today, I am writing to tell you that, fear not, we have a solution for your Die as well.(read more) Full Article ICADVM18.1 die export VRF Virtuoso Layout EXL Virtuoso Meets Maxwell Virtuoso System Design Environment Virtuoso RF Solution Virtuoso RF Package Design in Virtuoso die System Design Environment shape-based die RF design shape Custom IC VMM
how News18 Urdu: Latest News Howrah By urdu.news18.com Published On :: visit News18 Urdu for latest news, breaking news, news headlines and updates from Howrah on politics, sports, entertainment, cricket, crime and more. Full Article
how How Google Changed The Secretive Market For The Most Dangerous Hacks In The World By packetstormsecurity.com Published On :: Mon, 23 Sep 2019 16:54:39 GMT Full Article headline hacker flaw google zero day
how How The Wheels Came Off Facebook's Libra Project By packetstormsecurity.com Published On :: Fri, 18 Oct 2019 14:59:55 GMT Full Article headline government bank facebook social cryptography
how 42: The Answer To Life, The Universe, And How Many Cisco Products Have Struts Bugs By packetstormsecurity.com Published On :: Mon, 11 Sep 2017 13:55:40 GMT Full Article headline flaw cisco apache
how Juniper Backdoors Show The Risk Of Government Backdoors By packetstormsecurity.com Published On :: Sat, 19 Dec 2015 00:49:41 GMT Full Article headline government flaw spyware juniper backdoor
how Gadget-Hackers Post How-To On BlackBerry PlayBook Jailbreak By packetstormsecurity.com Published On :: Thu, 08 Dec 2011 04:21:21 GMT Full Article headline flaw blackberry
how How Hackers Are Breaking Into Ring Cameras By packetstormsecurity.com Published On :: Thu, 12 Dec 2019 14:10:19 GMT Full Article headline hacker privacy amazon flaw spyware
how How To Track North Korea's Mysterious New Satellite By packetstormsecurity.com Published On :: Thu, 11 Feb 2016 16:42:59 GMT Full Article headline space cyberwar korea
how Hackers Hijack Nintendo Switch, Show Linux Loaded On Console By packetstormsecurity.com Published On :: Sun, 11 Feb 2018 16:25:21 GMT Full Article headline hacker linux nintendo
how How To Hack A Company By Circumventing Its WAF For Fun And Profit - Part 2 By packetstormsecurity.com Published On :: Tue, 21 Apr 2020 20:35:24 GMT Full Article headline hacker flaw
how IBM Designs Computer Chip That Copies How The Brain Works By packetstormsecurity.com Published On :: Fri, 19 Aug 2011 23:33:45 GMT Full Article headline ibm science
how Facebook's New Privacy Tool Lets You Manage How You're Tracked By packetstormsecurity.com Published On :: Tue, 28 Jan 2020 15:39:48 GMT Full Article headline privacy facebook social
how Planes, Gate, And Bags: How Hackers Can Hijack Your Local Airport By packetstormsecurity.com Published On :: Fri, 11 Oct 2019 14:57:26 GMT Full Article headline hacker terror
how Games Of Thrones Has The Most Malware Of Any Pirated TV Show By packetstormsecurity.com Published On :: Wed, 03 Apr 2019 14:01:33 GMT Full Article headline malware mpaa pirate
how Cartoon Network Hacked Worldwide To Show Brazilian Stripper Videos By packetstormsecurity.com Published On :: Thu, 02 May 2019 01:39:03 GMT Full Article headline hacker brazil
how Coronavirus: How Hackers Are Preying On Fears Of Covid-19 By packetstormsecurity.com Published On :: Fri, 13 Mar 2020 14:49:24 GMT Full Article headline hacker virus phish
how Coronavirus Has Slashed Air Pollution. This Interactive Map Shows How. By packetstormsecurity.com Published On :: Wed, 25 Mar 2020 14:07:37 GMT Full Article headline virus science
how How Coronavirus Sentiment And Behavior Has Changed By packetstormsecurity.com Published On :: Tue, 31 Mar 2020 14:09:43 GMT Full Article headline government science
how How Does IS Communicate Securely? By packetstormsecurity.com Published On :: Tue, 17 Nov 2015 16:53:15 GMT Full Article headline government spyware france terror cryptography
how How France's TV5 Was Almost Destroyed By Russian Hackers By packetstormsecurity.com Published On :: Mon, 10 Oct 2016 15:02:19 GMT Full Article headline government russia cyberwar france