using

Skill code to Calculating PCB Real-estate usage using placement boundaries and package keep ins

Other tools allow a sanity check of placement density vs available board space.  There is an older post "Skill code to evaluate all components area (Accumulative Place bound area)"  (9 years ago) that has a couple of examples that no longer work or expired.

This would be useful to provide feedback to schismatic and project managers regarding the component density on the PCB and how it will affect the routing abilities.  Thermal considerations can be evaluated as well 

Has anyone attempted this or still being done externally in spread sheets?




using

Sudoku solver using Incisive Enterprise Verifier (IEV) and Assertion-Driven Simulation (ADS)

Just in time for the holidays, inside the posted tar ball is some code to solve 9x9 Sudoku puzzles with the Assertion-Driven Simulation (ADS) capability of Incisive Enterprise Verifier (IEV). Enjoy! Joerg Mueller Solutions Engineer for Team Verify




using

Creating transition coverage bins using a queue or dynamically

I want to write a transition coverage on an enumeration. One of the parts of that transition is a queue of the enum. I construct this queue in my constructor. Considering the example below, how would one go about it.

In my coverage bin I can create a range like this A => [queue1Enum[0]:queue1Enum[$]] => [queue2Enum[0]:queue2Enum[$]]. But I only get first and last element then.

typedef enum { red, d_green, d_blue, e_yellow, e_white, e_black } Colors;
 Colors dColors[$];
 Colors eColors[$];
 Lcolors = Colors.first();
 do begin
  if (Lcolors[0].name=='d') begin
   dColors.push_back(Lcolors);
  end
  if (Lcolors[0].name=='e') begin
   eColors.push_back(Lcolors);
  end
 end while(Lcolors != Lcolors.first())

 covergroup cgTest with function sample(Colors c);
   cpTran : coverpoint c{
      bins t[] = (red => dColors =>eColors);   
   }
 endgroup

bins t[] should come out like this(red=>d_blue,d_green=>e_yellow,e_white)

 




using

Unable to Import .v files with `define using "Cadence Verilog In" tool

Hello,

I am trying to import multiple verilog modules defined in a single file with "`define" directive in the top using Verilog In. The code below is an example of what my file contains.

When I use the settings below to import the modules into a library, it imports it correctly but completely ignores all `define directive; hence when I simulate using any of the modules below the simulator errors out requesting these variables.

My question: Is there a way to make Verilog In consider `define directives in every module cell created? 

Code to be imported by Cadence Verilog In:

--------------------------------------------------------

`timescale 1ns/1ps
`define PROP_DELAY 1.1
`define INVALID_DELAY 1.3

`define PERIOD 1.1
`define WIDTH 1.6
`define SETUP_TIME 2.0
`define HOLD_TIME 0.5
`define RECOVERY_TIME 3.0
`define REMOVAL_TIME 0.5
`define WIDTH_THD 0.0

`celldefine
module MY_FF (QN, VDD, VSS, A, B, CK);


inout VDD, VSS;
output QN;
input A, B, CK;
reg NOTIFIER;
supply1 xSN,xRN;
buf IC (clk, CK);
and IA (n1, A, B);
udp_dff_PWR I0 (n0, n1, clk, xRN, xSN, VDD, VSS, NOTIFIER);
not I2 (QN, n0);

wire ENABLE_B ;
wire ENABLE_A ;
assign ENABLE_B = (B) ? 1'b1:1'b0;
assign ENABLE_A = (A) ? 1'b1:1'b0;

specify
$setuphold(posedge CK &&& (ENABLE_B == 1'b1), posedge A,  `SETUP_TIME, `HOLD_TIME, NOTIFIER);
$setuphold(posedge CK &&& (ENABLE_B == 1'b1), negedge A, `SETUP_TIME, `HOLD_TIME, NOTIFIER);
$setuphold(posedge CK &&& (ENABLE_A == 1'b1), posedge B, `SETUP_TIME, `HOLD_TIME, NOTIFIER);
$setuphold(posedge CK &&& (ENABLE_A == 1'b1), negedge B, `SETUP_TIME, `HOLD_TIME, NOTIFIER);
$width(posedge CK,1.0,0.0,NOTIFIER);
$width(negedge CK,1.0,0.0,NOTIFIER);
if (A==1'b0 && B==1'b0)
(posedge CK => (QN:1'bx)) = (1.0, 1.0);
if (A==1'b1 && B==1'b0)
(posedge CK => (QN:1'bx)) = (1.0, 1.0);
if (B==1'b1)
(posedge CK => (QN:1'bx)) = (1.0,1.0);

endspecify


endmodule // MY_FF
`endcelldefine

`timescale 1ns/1ps
`celldefine
module MY_FF2 (QN, VDD, VSS, A, B, CK);


inout VDD, VSS;
output QN;
input A, B, CK;
reg NOTIFIER;
supply1 xSN,xRN;
buf IC (clk, CK);
and IA (n1, A, B);
udp_dff_PWR I0 (n0, n1, clk, xRN, xSN, VDD, VSS, NOTIFIER);
not I2 (QN, n0);

wire ENABLE_B ;
wire ENABLE_A ;
assign ENABLE_B = (B) ? 1'b1:1'b0;
assign ENABLE_A = (A) ? 1'b1:1'b0;

specify
$setuphold(posedge CK &&& (ENABLE_B == 1'b1), posedge A,  `SETUP_TIME, `HOLD_TIME, NOTIFIER);
$setuphold(posedge CK &&& (ENABLE_B == 1'b1), negedge A,  `SETUP_TIME, `HOLD_TIME, NOTIFIER);
$setuphold(posedge CK &&& (ENABLE_A == 1'b1), posedge B,  `SETUP_TIME, `HOLD_TIME, NOTIFIER);
$setuphold(posedge CK &&& (ENABLE_A == 1'b1), negedge B,  `SETUP_TIME, `HOLD_TIME, NOTIFIER);
$width(posedge CK,1.0,0.0,NOTIFIER);
$width(negedge CK,1.0,0.0,NOTIFIER);
if (A==1'b0 && B==1'b0)
(posedge CK => (QN:1'bx)) = (1.0, 1.0);
if (A==1'b1 && B==1'b0)
(posedge CK => (QN:1'bx)) = (1.0, 1.0);
if (B==1'b1)
(posedge CK => (QN:1'bx)) = (1.0,1.0);

endspecify


endmodule // MY_FF2
`endcelldefine

--------------------------------------------------------

I am using the following Cadence versions:

MMSIM Version: 13.1.1.660.isr18

Virtuoso Version: IC6.1.8-64b.500.1

irun Version: 14.10-s039

Spectre Version: 18.1.0.421.isr9




using

Using calcVal() in Monte-Carlo simulations

Hello,

I am trying to use calcVal for creating a spec condition from a simulated parameter and although this works perfectly fine in corner simulations, I am having some difficulties in Monte-Carlo (and I will explain).

(I have also read "Using calcVal() and its arguments with ADE Assembler" in Resources > Rapid Adoption Kits but couldn't find any relevant information that would help me address the "issue").

In the above example I am performing a MC simulation which has 2 corners of 10 runs each. I would like to get the minimum value of variable "OC_limit_thres" out of those 10 runs and pass it as my upper limit to a range argument for variable "OC_flag_thres", so the CPK can be calculated.

So the range statement should in reality be like this:

range 32m 44.34m (for corner 0)

range 32m 43.14m (for corner 1)

If I open the Detail - Transpose view in the Results tab, the calcVal("OC_limit_thres" "Currlim_TurnOn_C11") is calculated perfectly fine for each run but here I need one single value out of those 10 runs - in this case the minimum - in order for calcVal to evalute on multiple runs of 1 corner.

How can this be done please?

Thank you in advance for your time.




using

Simulating IBIS Model using Spectre

I have a question regarding simulating IBIS model using Spectre.  IBIS model generation always has the die capacitance included and in the generated IBIS file you will have this value as  “C_comp” value.  Does the Spectre accounts for this capacitance from the IBIS file while computing the time domain voltage waveform during simulation ?  If I add additional capacitance outside in the testbench, to model the die capacitance, then it will be double counting.

Does anyone know if Spectre is already accounting this C_comp during the time domain voltage wave computation from IBIS file, during simulation ?




using

Automatically Reusing an SoC Testbench in AMS IP Verification

The complexity and size of mixed-signal designs in wireless, power management, automotive, and other fast growing applications requires continued advancements in a mixed-signal verification methodology. An SoC, in these fast growing applications, incorporates a large number of analog and mixed-signal (AMS) blocks/IPs, some acquired from IP providers, some designed, often concurrently. AMS IP must be verified independently, but this is not sufficient to ensure an SoC will function properly and all scenarios of interaction among many different AMS IP blocks at full chip / SoC level must be verified thoroughly. To reduce an overall verification cycle, AMS IP and SoC verification teams must work in parallel from early stages of the design. Easier said than done! We will outline a methodology than can help.

AMS designers verify their IP meets required specifications by running a testbench they develop for standalone / out of-context verification. Typically, an AMS IP as analog-centric, hierarchal design in schematic, composed of blocks represented by transistor, HDL and behavioral description verified in Virtuoso® Analog Design Environment (ADE) using Spectre AMS Designer simulation. An SoC verification team typically uses UVM SystemVerilog testbech at full chip level where the AMS IP is represented with a simple digital or real number model running Xcelium /DMS simulation from the command line.

Ideally, AMS designers should also verify AMS IP function properly in the context of full-chip integration, but reproducing an often complex UVM SystemVerilog testbench and bringing over top-level design description to an analog-centric environment is not a simple task.

Last year, Cadence partnered with Infineon on a project with a goal to automate the reuse of a top-level testbench in AMS verification. The automation enabled AMS verification engineers to automatically configure setup for verification runs by assembling all necessary options and files from the AMS IP Virtuoso GUI and digital SoC top-level command line configurations. The benefits of this method were:

  • AMS verification engineers did not need to re-create complex stimuli representing interaction of their IP at the top level
  • Top-level verification stays external to the AMS IP verification environment and continues to be managed by the SoC verification team, but can be reused by the AMS IP team without manual overhead
  • AMS IP is verified in-context and any inconsistencies are detected earlier in the verification process
  • Improved productivity and overall verification time

For more details, please see Infineon’s CDNLlive presentation.




using

Verifying Power Intent in Analog and Mixed-Signal Designs Using Formal Methods

Analog and Mixed-signal (AMS) designs are increasingly using active power management to minimize power consumption. Typical mixed-signal design uses several power domains and operate in a dozen or more power modes including multiple functional, standby and test modes. To save power, parts of design not active in a mode are shut down or may operate at reduced supply voltage when high performance is not required. These and other low power techniques are applied on both analog and digital parts of the design. Digital designers capture power intent in standard formats like Common Power Format (CPF), IEEE1801 (aka Unified Power Format or UPF) or Liberty and apply it top-down throughout design, verification and implementation flows. Analog parts are often designed bottom-up in schematic without upfront defined power intent. Verifying that low power intent is implemented correctly in mixed-signal design is very challenging. If not discovered early, errors like wrongly connected power nets, missing level shifters or isolations cells can cause costly rework or even silicon re-spin. 

Mixed-signal designers rely on simulation for functional verification. Although still necessary for electrical and performance verification, running simulation on so many power modes is not an effective verification method to discover low power errors. It would be nice to augment simulation with formal low power verification but a specification of power intent for analog/mixed-signal blocs is missing. So how do we obtain it? Can we “extract” it from already built analog circuit? Fortunately, yes we can, and we will describe an automated way to do so!

Virtuoso Power Manager is new tool released in the Virtuoso IC6.1.8 platform which is capable of managing power intent in an Analog/MS design which is captured in Virtuoso Schematic Editor. In setup phase, the user identifies power and ground nets and registers special devices like level shifters and isolation cells. The user has the option to import power intent into IEEE1801 format, applicable for top level or any of the blocks in design. Virtuoso Power Manager uses this information to traverse the schematic and extract complete power intent for the entire design. In the final stage, Virtuoso Power Manager exports the power intent in IEEE1801 format as an input to the formal verification tool (Cadence Conformal-LP) for static verification of power intent.

Cadence and Infineon have been collaborating on the requirements and validation of the Virtuoso Power Manager tool and Low Power verification solution on real designs. A summary of collaboration results were presented at the DVCon conference in Munich, in October of 2018.  Please look for the paper in the conference proceedings for more details. Alternately, can view our Cadence webinar on Verifying Low-Power Intent in Mixed-Signal Design Using Formal Method for more information.






using

Amazon Fires Four Employees For Abusing Ring Access








using

Solving Computer Forensic Case Using Autopsy

Whitepaper called Solving Computer Forensic Case Using Autopsy.




using

Exploiting CAN-Bus Using Instrument Cluster Simulator

Whitepaper called Exploiting CAN-Bus using Instrument Cluster Simulator.





using

Building A Simple Proxy Fuzzer For THe MQTT Protocol Using The Polymorph Framework

Whitepaper that shows how easy you can build a fuzzer for the MQTT protocol by using the Polymorph framework.





using

RSA Factorization Attack Using Fermat's Algorithm

Script that performs RSA factorization attack using Fermat's algorithm.







using

First-Ever Malware Strain Spotted Abusing New DoH Protocol








using

U.K. has been using coal-free energy since May 1

The U.K. has now gone more than a week without using any of its coal-fired power stations, yet another record, and a sign that life without the dirtiest fossil fuel might not be that far away.




using

Ionic 5 and Angular 8: Restful API User Authentication Login and Signup using Guard and Resolver

This is a continuation of my previous article creating an Ionic Angular project with welcome and tabs home page. Today’s post explains how to implement login authentication system for your Ionic Angular application with guards and resolvers. It will show you how to log in with a user and store the user data and protect the routes, so it deals with token-based authentication. Every user details will be stored in an external database and a PHP based API is used in the backend for handling this authentication.





using

Create a RESTful API using Node and Express with MySQL Database

Node Express web framework is a best solution to create RESTful APIs in quick time. Previously we published some concepts with different technologies like PHP and Java. This article will explain to you a more simple way to use external plugins to enrich your project APIs. Here you will find to create GET and POST requests with request payload validations to protect the endpoints.





using

Using a system to better manage hydro and non-hydro generating assets

Learn how Canadian utility SaskPower integrated its hydro and non-hydro generating assets under one management system using Hatch's Vista Decision Support System.




using

Hydro technology news and information focusing on Canada

The latest hydropower industry news from Canada




using

U.K. has been using coal-free energy since May 1

The U.K. has now gone more than a week without using any of its coal-fired power stations, yet another record, and a sign that life without the dirtiest fossil fuel might not be that far away.




using

Are Environmental Regulations Causing US Utility Bills to Surge?

U.S. electricity markets face years of higher prices as clean-air regulations shut more coal-fired power plants than earlier forecast, cutting supply and forcing producers to rely more on natural gas.




using

Using Geothermal Solutions to Desalinate Oil Field Water

Clean water — it’s a precious resource in hot demand right now, for more than taking a shower or watering our crops. The United Nations projects the world’s population will grow by another billion people, to 8.4 Billion, by 2030. More people means more need for food, water, electricity, and other necessities. Beyond the obvious demands for water, our increasing appetite for electricity also requires water — and plenty of it. Most of the electricity generated in the U.S. uses water in some capacity.




using

This solar oven can cook using the power of the sun, and it's on sale

TL;DR: Have a hot meal wherever you go with the Go portable solar oven for $119, a 14% savings as of May 9.


As we look toward upcoming summer festivities, our calendars are looking pretty bleak. Nearly every event has been postponed. Festival season was straight-up canceled. And gatherings in public places are basically extinct. With fewer options on the table, you're probably going to be spending a lot of time enjoying the great outdoors in small groups or on your own as the weather warms up. By then, you'll be sick of staying home, so it's a good thing nature isn't canceled.

As you head outside for your camping, hiking, biking, and beach excursions, you'll probably need a way to cook your own food, especially if restaurants are still closed or operating on abbreviated hours. We've got just thing, and it's on sale: the Go Portable Solar Oven. All you need is a little bit of sun and this lightweight grill can cook just about anything. Read more...

More about Cooking, Camping, Mashable Shopping, Tech, and Consumer Tech




using

Are Environmental Regulations Causing US Utility Bills to Surge?

U.S. electricity markets face years of higher prices as clean-air regulations shut more coal-fired power plants than earlier forecast, cutting supply and forcing producers to rely more on natural gas.




using

Using a system to better manage hydro and non-hydro generating assets

Learn how Canadian utility SaskPower integrated its hydro and non-hydro generating assets under one management system using Hatch's Vista Decision Support System.




using

Earth to AI: Three Startups Using Deep Learning for Environmental Monitoring

Sometimes it takes an elevated view to appreciate the big picture. NASA’s iconic “Blue Marble,” taken in 1972, helped inspire the modern environmental movement by capturing the finite and fragile nature of Earth for the first time. Today, aerial imagery from satellites and drones powers a range of efforts to monitor and protect our planet Read article >

The post Earth to AI: Three Startups Using Deep Learning for Environmental Monitoring appeared first on The Official NVIDIA Blog.




using

Housing and Planning Bill update

Feeling the squeeze Background Technical consultation issued by the Department for Communities and Local Government in December 2015 proposed a change to the definition of Affordable Housing under the National Planning Policy Framework “to enc...




using

Government publishes proposals for fixing ‘broken housing market’

On 7 February 2017 the Government published its much anticipated Housing White Paper. Whilst those in the industry welcome the recognition of the extent of the supply problem and the commitment to tackle the entire process from site finding to deliv...




using

Housing for Older and Disabled People – Guides councils in preparing planning policies on housing for older and disabled people

The Ministry of Housing, Communities and Local Government published new guidance on 26 June 2019 in relation to housing for older and disabled people.  The guidance forms part of National Planning Practice Guidance and will be a material consid...




using

Affordable housing construction briefing: Local Democracy, Economic Development and Construction Act

No hurry to change your construction documents The most significant piece of legislation for the UK construction industry, Part II of the Housing Grants, Construction and Regeneration Act...




using

Affordable housing construction briefing: contractor insolvency - warning signs

In the current uncertain times it is common to hear rumours of financial difficulties at contractors and sub-contractors which are bound to cause concern for employers on construction works. However, there are a number of steps yo...




using

Affordable housing litigation e-briefing: when is a RSHP not a public authority?

After the recent decision in R (Weaver) versus London and Quadrant Housing Trust [2009] EWCA Civ 587 the answer, more frequently than not, will be when it is not acting as a 'hybrid authority' and not exercising the pow...




using

Affordable housing - liability for anti-social behaviour

Octavia Hill Housing Trust v Brumby [2010] EWHC 1793 (QB) A landmark case could open the floodgates for Registered Providers. Terri Brumby has won the right in the High Court to proceed with a claim against Registered Provider Octavia Hill Housing ...




using

Tackling the housing shortage - a change in emphasis?

At the Conservative party conference the Communities Secretary Sajid Javid, and the Chancellor, Philip Hammond, announced a fresh package of measures aimed at addressing the national shortage of new homes. The ambition is to deliver more than 25,000...




using

Lawbite: Changing with the times – refusing consent to residential use

Sequent Nominees Ltd (formerly Rotrust Nominees Ltd) v Hautford Ltd [2019] UKSC 47 The Supreme Court has overturned the decision of the two lower courts and held that a landlord was reasonable to withhold its consent to the tenant’s applicatio...