pattern

Scanning data streams in real-time against large pattern collections

Embodiments of the disclosure include a method for partitioning a deterministic finite automaton (DFA) into a plurality of groups. The method includes selecting, with a processing device, a subset of the plurality of states and mapping each state of the subset onto a group of the plurality of groups by assigning one or more transition rules associated with each state to a rule line of the group, wherein each rule line is assigned at most two transition rules and an extended address associated with one of the at most two transition rules. The method also includes iteratively processing each state of the subset mapped onto the group by removing the extended address from each rule line in the group with transition rules referring to a current state if the transition rules in the rule line branch within the group.




pattern

Method for separately processing regions on a patterned medium

The disclosure relates generally to a method for fabricating a patterned medium. The method includes providing a substrate with an exterior layer under a lithographically patterned surface layer, the lithographically patterned surface layer comprising a first pattern in a first region and a second pattern in a second region, applying a first masking material over the first region, transferring the second pattern into the exterior layer in the second region, forming self-assembled block copolymer structures over the lithographically patterned surface layer, the self-assembled block copolymer structures aligning with the first pattern in the first region, applying a second masking material over the second region, transferring the polymer block pattern into the exterior layer in the first region, and etching the substrate according to the second pattern transferred to the exterior layer in the second region and the polymer block pattern transferred to the exterior layer in the first region.




pattern

Artificial silica marble having amorphous patterns and method for preparing the same

An artificial silica marble comprises a matrix and a line pattern portion. The line pattern portion comprises fine lines having a width of about 50 to about 500 μm and forms a web- or net-like pattern. The line pattern portion divides or partitions the artificial silica marble into a plurality of irregularly shaped pattern portions to form an amorphous pattern in the cross section of the artificial silica marble.




pattern

Method and apparatus for calibrating a memory interface with a number of data patterns

Apparatuses and methods of calibrating a memory interface are described. Calibrating a memory interface can include loading and outputting units of a first data pattern into and from at least a portion of a register to generate a first read capture window. Units of a second data pattern can be loaded into and output from at least the portion of the register to generate a second read capture window. One of the first read capture window and the second read capture window can be selected and a data capture point for the memory interface can be calibrated according to the selected read capture window.




pattern

Generating guiding patterns for directed self-assembly

Aspects of the invention relate to techniques of generating guiding patterns for via-type feature groups. A guiding pattern may be constructed for a via-type feature group that comprises two or more via-type features in a layout design. A backbone structure may then be determined for the guiding pattern. Based on the backbone structure and a self-assembly model, simulated locations of the two or more via-type features are computed. The simulated locations are compared with targeted locations. If the simulated locations do not match the targeted locations based on a predetermined criterion, the simulated locations adjusted to derive modified locations. Using the modified locations, the above operations may be repeated until the simulated locations match the targeted location based on a predetermined criterion or for a predetermined number of times.




pattern

Method and system for forming patterns with charged particle beam lithography

In a method for fracturing or mask data preparation or mask process correction for charged particle beam lithography, a plurality of shots are determined that will form a pattern on a surface, where shots are determined so as to reduce sensitivity of the resulting pattern to changes in beam blur (βf). In some embodiments, the sensitivity to changes in βf is reduced by varying the charged particle surface dosage for a portion of the pattern. Methods for forming patterns on a surface, and for manufacturing an integrated circuit are also disclosed, in which pattern sensitivity to changes in βf is reduced.




pattern

Method and system for forming high accuracy patterns using charged particle beam lithography

A method and system for optical proximity correction (OPC) is disclosed in which a set of shaped beam shots is determined which, when used in a shaped beam charged particle beam writer, will form a pattern on a reticle, where some of the shots overlap, where the pattern on the reticle is an OPC-corrected version of an input pattern, and where the sensitivity of the pattern on the reticle to manufacturing variation is reduced. A method for fracturing or mask data preparation is also disclosed.




pattern

Extracting information from unstructured text using generalized extraction patterns

Methods, systems, and apparatus, including computer program products, for extracting information from unstructured text. Fact pairs are used to extract basic patterns from a body of text. Patterns are generalized by replacing words with classes of similar words. Generalized patterns are used to extract further fact pairs from the body of text. The process can begin with fact pairs, basic patterns, or generalized patterns.




pattern

Actinic-ray- or radiation-sensitive resin composition, compound and method of forming pattern using the composition

According to one embodiment, an actinic-ray- or radiation-sensitive resin composition includes any of the compounds (A) of general formula (I) below that when exposed to actinic rays or radiation, generates an acid and a resin (B) whose rate of dissolution into an alkali developer is increased by the action of an acid. (The characters used in general formula (I) have the meanings mentioned in the description.)




pattern

System and method for automatic detection of a plurality of SPO2 time series pattern types

The disclosed embodiments relate to pulse oximetry. An exemplary pulse oximeter comprises a probe that is adapted to be attached to a body part of a patient to create a signal indicative of an oxygen saturation of blood of the patient, and a processor that is adapted to receive the signal produced by the probe, to calculate an SPO2 value based on the signal, to detect a plurality of pattern types of SPO2 indicative of pathophysiologic events, and to produce an output indicative of a detected one of the plurality of pattern types.




pattern

Chemically amplified resist composition and patterning process

A chemically amplified resist composition comprising a base polymer and an amine quencher in the form of a β-alanine, γ-aminobutyric acid, 5-aminovaleric acid, 6-aminocaproic acid, 7-aminoheptanoic acid. 8-aminooctanoic acid or 9-aminononanoic acid derivative having an unsubstituted carboxyl group has a high contrast of alkaline dissolution in rate before and after exposure and forms a pattern of good profile at a high resolution, minimal roughness and wide DOF.




pattern

Patterning process and resist composition

A negative pattern is formed by coating a resist composition comprising a polymer comprising recurring units having a tertiary ester type acid labile group having a plurality of methyl or ethyl groups on alicycle and an acid generator onto a substrate, prebaking, exposing to high-energy radiation, baking, and developing in an organic solvent developer so that the unexposed region of resist film is dissolved away and the exposed region of resist film is not dissolved. The resist composition exhibits a high dissolution contrast during organic solvent development and forms a fine hole or trench pattern of dimensional uniformity.




pattern

Resist composition, method of forming resist pattern, polymeric compound, and compound

A resist composition which can form a very fine resist pattern with excellent lithography properties, a new polymeric compound useful for the resist composition, and a compound useful as a monomer for the polymeric compound. The resist composition contains a polymeric compound containing a structural unit (a0) represented by general formula (a0) shown below. In the formula (a0), A is an anion represented by the general formula (1) or (2).




pattern

Electroluminescent display useful for displaying a predetermined pattern

An electroluminescent display comprising semiconductor nanocrystals, wherein the semiconductor nanocrystals are selected to emit light at a predetermined wavelength and are disposed in a predetermined pattern. In certain embodiments, semiconductor nanocrystals that emit light at different predetermined wavelengths are disposed in the display to create a predetermined multi-color pattern.




pattern

Method of producing polymeric compound, resist composition, and method of forming resist pattern

A method of producing a polymeric compound containing a structural unit that decomposes upon exposure to generate an acid, the method including: synthesizing a precursor polymer by polymerizing a water-soluble monomer having an anionic group, washing the precursor polymer with water, and subsequently subjecting the precursor polymer to a salt exchange with an organic cation. Also, a polymeric compound produced using the method of producing a polymeric compound, and a method of forming a resist pattern using the resist composition.




pattern

Curable composition for imprints, patterning method and pattern

Provided is a curable composition for imprints having good patternability and dry etching resistance. Disclosed is a curable composition for imprints comprising at least one kind of polymerizable monomer selected from the following compounds and a photopolymerization initiator;




pattern

Composition for forming pattern and in-plane printing method using the same

A composition for forming a pattern includes: about 1% to about 10% by weight of a liquid prepolymer, about 40% to about 60% by weight of an acrylate having a hydrophilic group, about 10% to about 20% by weight of a viscosity modifier, about 1% to about 5% by weight of a photoinitiator, and an additive.




pattern

Compound, polymeric compound, acid generator, resist composition, and method of forming resist pattern

There are provided a novel compound, a polymeric compound, a resist composition, an acid generator and a method of forming a resist pattern the compound represented by general formula (1-1):wherein each of R1 and R3 independently represents a single bond or a divalent linking group; A represents a divalent linking group; each of R2 and R4 independently represents a hydroxyl group, a hydrocarbon group which may have a substituent, or a group represented by general formula (1-an1), (1-an2) or (1-an3), provided that at least one of R2 and R4 represents a group represented by general formula (1-an1), (1-an2) or (1-an3); and n0 is preferably 0 or 1, andwherein Y1 represents a single bond or —SO2—; R5 represents a linear or branched monovalent hydrocarbon group of 1 to 10 carbon atoms, cyclic monovalent hydrocarbon group of 3 to 20 carbon atoms or monovalent hydrocarbon group of 3 to 20 carbon atoms having a cyclic partial structure which may be substituted with a fluorine atom; and M+ represents an organic cation or a metal cation,




pattern

Pattern formation method

According to the embodiments, a pattern formation method includes a process of formation of a self-assembly material layer containing at least a first segment and a second segment on a substrate having a guide layer, a process of formation of a neutralization coating on the self-assembly material layer, and a process of formation of a self-assembly pattern including a first region containing the first segment and a second region containing the second segment following phase separation of the self-assembly material layer.




pattern

Compound and method of producing the same, acid generator, resist composition and method of forming resist pattern

A resist composition including a base component (A) which exhibits changed solubility in an alkali developing solution under action of acid and an acid-generator component (B) which generates acid upon exposure, the acid-generator component (B) including an acid generator (B1) consisting of a compound represented by general formula (b1-1) shown below: wherein RX represents a hydrocarbon group which may have a substituent exclusive of a nitrogen atom; each of Q2 and Q3 independently represents a single bond or a divalent linkage group; Y1 represents an alkylene group or fluorinated alkyl group of 1 to 4 carbon atoms; and Z+ represents an organic cation exclusive of an ion represented by general formula (w-1).




pattern

Actinic-ray- or radiation-sensitive resin composition, actinic-ray- or radiation-sensitive film and method of forming pattern

Provided is an actinic-ray- or radiation-sensitive resin composition including (A) a compound that when exposed to actinic rays or radiation, generates an acid, (B) a resin that when acted on by an acid, increases its rate of dissolution in an alkali developer, and (C) a hydrophobic resin, wherein the hydrophobic resin (C) contains a repeating unit derived from any of monomers of general formula (1) below.




pattern

Negative resist composition and pattern forming method using the same

A negative resist composition, includes: (A) an alkali-soluble polymer containing a specific repeating unit as defined in the specification; (B) a crosslinking agent capable of crosslinking with the alkali soluble polymer (A) under an action of an acid; (C) a compound capable of generating an acid upon irradiation with actinic rays or radiation; (D) a specific quaternary ammonium salt as defined in the specification; and (E) an organic carboxylic acid, and a pattern forming method uses the composition.




pattern

Pattern improvement in multiprocess patterning

Improved fidelity to an integrated circuit pattern design in a semiconductor structure ultimately produced is achieved by modeling material removal and deposition processes in regard to materials, reactant, feature size, feature density, process parameters and the like as well as the effects of such parameters on etch and material deposition bias due to microloading and RIE lag (including inverse RIE lag) and using the models to work backward through the intended manufacturing method steps, including hard mask pattern decomposition, to morphologically develop feature patterns for use in most or all process steps which will result in the desired feature sizes and shapes at the completion of the overall process. Modeling of processes may be simplified through use of process assist features to locally adjust rates of material deposition and removal.




pattern

Methods of forming patterns

Some embodiments include methods of forming patterns of openings. The methods may include forming spaced features over a substrate. The features may have tops and may have sidewalls extending downwardly from the tops. A first material may be formed along the tops and sidewalls of the features. The first material may be formed by spin-casting a conformal layer of the first material across the features, or by selective deposition along the features relative to the substrate. After the first material is formed, fill material may be provided between the features while leaving regions of the first material exposed. The exposed regions of the first material may then be selectively removed relative to both the fill material and the features to create the pattern of openings.




pattern

Patterning

The present invention provides a method of patterning an electronic or photonic material on a substrate comprising: forming a film of said electronic or photonic material on said substrate; and using a fluoropolymer to protect regions of said electronic or photonic material during a patterning process.




pattern

Compound and method of producing the same, acid generator, resist composition and method of forming resist pattern

A resist composition including a base component which exhibits changed solubility in an alkali developing solution under action of acid and an acid-generator component which generates acid upon exposure, the acid-generator including an acid generator consisting of a compound represented by general formula (b1-1) shown below: In which RX represents a hydrocarbon group which may have a substituent exclusive of a nitrogen atom; each of Q2 and Q3 independently represents a single bond or a divalent linkage group; Y1 represents an alkylene group or fluorinated alkyl group of 1 to 4 carbon atoms; and Z+ represents an organic cation exclusive of an ion represented by general formula (w-1).




pattern

Radiation-sensitive resin composition, method for forming resist pattern, and polymer and compound

A radiation-sensitive resin composition that provides a resist coating film in a liquid immersion lithography process is provided, the radiation-sensitive resin composition being capable of exhibiting a great dynamic contact angle during exposure, whereby the surface of the resist coating film can exhibit a superior water draining property, and the radiation-sensitive resin composition being capable of leading to a significant decrease in the dynamic contact angle during development, whereby generation of development defects can be inhibited, and further shortening of a time period required for change in a dynamic contact angle is enabled. A radiation-sensitive resin composition including (A) a fluorine-containing polymer having a structural unit (I) that includes a group represented by the following formula (1), and (B) a radiation-sensitive acid generator.




pattern

Polymerizable tertiary ester compound, polymer, resist composition, and patterning process

The present invention provides a polymerizable tertiary ester compound represented by the following general formula (1a) or (1b). There is provided a polymerizable ester compound useful as a monomer for a base resin of a resist composition having a high resolution and a reduced pattern edge roughness in photolithography using a high-energy beam such as an ArF excimer laser light as a light source, especially in immersion lithography, a polymer containing a polymer of the ester compound, a resist composition containing the polymer as a base resin, and a patterning process using the resist composition.




pattern

Resist composition, patterning process and polymer

An additive polymer comprising recurring styrene units having an ester group bonded to a CF3—C(OR2)—R3 group (wherein R2 is H, acyl or acid labile group, R3 is H, CH3 or CF3) such as 1,1,1,3,3,3-hexafluoro-2-propanol is added to a polymer capable of increasing alkali solubility under the action of acid to formulate a resist composition. The resist composition can minimize outgassing from a resist film during the EUV lithography and form a resist film having a hydrophilic surface sufficient to prevent formation of blob defects on the film after development.




pattern

Resist composition, method of forming resist pattern and compound

A resist composition which generates acid upon exposure and exhibits changed solubility in a developing solution under action of acid, including a base component (A) which exhibits changed solubility in a developing solution under action of acid, and a photo-decomposable quencher (D0) containing a compound represented by general formula (d0) shown below. In the formula, R1 represents a hydrocarbon group of 4 to 20 carbon atoms which may have a substituent; Y1 represents a single bond or a divalent linking group; R2 and R3 each independently represents a substituent of 0 to 20 carbon atoms other than a fluorine atom; one of R2 and R3 may form a ring with Y1; Mm+ represents an organic cation having a valency of m; and m represents an integer of 1 or more.




pattern

Developable bottom antireflective coating composition and pattern forming method using thereof

The present invention relates to a developable bottom antireflective coating (BARC) composition and a pattern forming method using the BARC composition. The BARC composition includes a first polymer having a first carboxylic acid moiety, a hydroxy-containing alicyclic moiety, and a first chromophore moiety; a second polymer having a second carboxylic acid moiety, a hydroxy-containing acyclic moiety, and a second chromophore moiety; a crosslinking agent; and a radiation sensitive acid generator. The first and second chromophore moieties each absorb light at a wavelength from 100 nm to 400 nm. In the patterning forming method, a photoresist layer is formed over a BARC layer of the BARC composition. After exposure, unexposed regions of the photoresist layer and the BARC layer are selectively removed by a developer to form a patterned structure in the photoresist layer. The BARC composition and the pattern forming method are especially useful for implanting levels.




pattern

Method for forming patterns of semiconductor device by using mixed assist feature system

A method for forming patterns of a semiconductor device includes providing a photomask that includes an array of contact holes in an active region, a plurality of first dummy contact holes for restricting pattern distortion of the contact holes in an area outside of the array of the contact holes, a plurality of first assist features for restricting pattern distortion of the first dummy contact holes disposed inside a corresponding one of the first dummy contact holes, and an array of second assist features for additionally restricting pattern distortion of the first dummy contact holes. The array of second assist features is disposed outside of the first dummy contact holes. The method also includes forming an array of contact holes and first dummy contact holes on a wafer by using the photomask as an exposure mask.




pattern

Resist ink and method of forming pattern using the same

Disclosed is a resist ink having superior acid-resistance and coupling property, the resist ink composed of 70% or less by weight of solvent, 10-15% by weight of base polymer, 10-15% by weight of tacktifier, 3% or less by weight of additive, and 1-10% by weight of coupling agent.




pattern

***WITHDRAWN PATENT AS PER THE LATEST USPTO WITHDRAWN LIST***Pattern forming method, multi-layered resist pattern, multi-layered film for organic solvent development, manufacturing method of electronic device, and electronic device

A pattern forming method contains: (i) a step of forming a bottom anti-reflective coating on a substrate by using a first resin composition (I), (ii) a step of forming a resist film on the bottom anti-reflective coating by using a second resin composition (II), (iii) a step of exposing a multi-layered film having the bottom anti-reflective coating and the resist film, and (iv) a step of developing the bottom anti-reflective coating and the resist film in the exposed multi-layered film by using an organic solvent-containing developer to form a negative pattern.




pattern

Method for pattern formation, method and composition for resist underlayer film formation, and resist underlayer film

Provided by the present invention is a method including: (1) forming a resist underlayer film on the upper face side of a substrate to be processed using a composition for forming a resist underlayer film, the composition containing (A) a compound having a group represented by the following formula (1); (2) forming a resist coating film by applying a resist composition on the resist underlayer film; (3) exposing the resist coating film by selectively irradiating the resist coating film with a radiation; (4) forming a resist pattern by developing the exposed resist coating film; and (5) forming a predetermined pattern on the substrate to be processed by sequentially dry etching the resist underlayer film and the substrate using the resist pattern as a mask.




pattern

Pattern forming method, multi-layered resist pattern, multi-layered film for organic solvent development, manufacturing method of electronic device, and electronic device

A pattern forming method contains: (i) a step of forming a bottom anti-reflective coating on a substrate by using a first resin composition (I), (ii) a step of forming a resist film on the bottom anti-reflective coating by using a second resin composition (II), (iii) a step of exposing a multi-layered film having the bottom anti-reflective coating and the resist film, and (iv) a step of developing the bottom anti-reflective coating and the resist film in the exposed multi-layered film by using an organic solvent-containing developer to form a negative pattern.




pattern

Pattern projector

A pattern projector, comprising a light source, configured to emit a beam of light. A transparent substrate, which has a pair of mutually-opposed planar surfaces is configured to receive and propagate the beam within the substrate by total internal reflection between the planar surfaces. The transparent substrate comprises a diffractive structure that is formed on one of the planar surfaces and is configured to direct at least a part of the beam to propagate out of the substrate in a direction that is angled away from the surface and to create a pattern comprising multiple interleaved light and dark areas.




pattern

Device for generating an optical dot pattern

A device for capturing a three-dimensional object is presented, which allows, on one hand, a sufficiently large number of projected pixels and a high image quality of the projected pixels, and which has, on the other hand, a compact size and low assembly costs.




pattern

Sheet holder and edge pattern making apparatus incorporating the same

A sheet holder for holding a sheet of paper and exposing an edge of the sheet, has a press for holding the paper sheet and exposing the edge, and a lever for operating the press. The lever is movable between a released position for placing of the edge of the paper sheet in the press and a holding position for operating the press. There is also an abutment for abutment by the edge of the paper sheet to position the edge relative to the press while the lever is in the released position. The abutment is associated with the lever for moving away from the edge of the paper sheet when the lever moves towards the holding position, thereby exposing the edge of the paper sheet.




pattern

Spreader with GPS guided spread pattern

A spreader (100) has a hopper or bin (1) for storing a spreadable material (2), a plurality of spinners (5, 6) adapted to receive the material from the hopper or bin and to spread the material (2) on the ground, and a Global Positioning System (GPS) receiver (8) for sensing the position of the spreader (100) and providing an output signal indicative of a position of the spreader to a controller (7). The controller (7) calculates a required pattern and density of material (2) to be spread by the spinners (5, 6) based on a comparison of the actual position of the spreader (A) to a preferred position of the spreader (I), and controls the spinners (5, 6) in order to obtain the required pattern and density of spread material (2). A method of controlling the pattern and density of material spread by a spreader is also disclosed.




pattern

Three dimensional pattern decorated article

For a memo pad, many sheets 1 are bound at a back face as bound sheets using gum. The memo pad is provided with a cover paper on the top and a mounting paper on the bottom. The sheets 1 are printed from a central area of the sheet surface to over an outer peripheral area, with a decorative pattern. Outer peripheral non glued edges of the memo pad have a rugged shape modeled after the decorative pattern in a direction of the sheet surface and in a direction of the bound sheet thickness, such that even if the sheets 1 are turned up one by one or removed, a three dimensional decorative pattern reappears from the surface of the remaining sheets 1 to over the outer peripheral edge.




pattern

Pattern-forming method, and radiation-sensitive composition

A pattern-forming method includes providing a resist film on a substrate using a radiation-sensitive composition. The resist film is exposed. The exposed resist film is developed using a developer solution. The developer solution includes no less than 80% by mass of an organic solvent. The radiation-sensitive composition includes at least two components including a first polymer and a radiation-sensitive acid generator. The first polymer includes a structural unit having an acid-labile group. One or more components of the radiation-sensitive composition have a group represented by a formula (1). A− represents —N−—SO2—RD, —COO−, —O− or —SO3−. —SO3− does not directly bond to a carbon atom having a fluorine atom. RD represents a linear or branched monovalent hydrocarbon group, or the like. X+ represents an onium cation. —A−X+ (1)




pattern

Apparatus and method for producing a multi-pattern wireless frame

Multi-pattern transmission of frames. The method of operations comprises transmitting a first portion of a frame using a first radiation pattern. The frame comprises one or more preambles and a single data portion associated with the one or more preambles. Thereafter, an operation is conducted to switch the radiation pattern from the first radiation pattern, used to produce the first portion of the frame, to a second radiation pattern. A second portion of the same frame is produced using the second radiation pattern.




pattern

Method and apparatus for inspecting defects of circuit patterns

The present invention relates to a defect inspection apparatus for inspecting defects in patterns formed on a semiconductor device, on the GUI of which for the confirmation of the inspection results an area is provided for displaying any one of or facing each other the features amount of defects, and the image during inspection or the reacquired image, and on the GUI of which a means is provided for setting the classification class and importance of the defects, and based on the classification class and the importance of the defects information set by this setting means, the classification conditions or the defect judging conditions are automatically or manually set so that the inspection conditions may be set easily.




pattern

Punch device with interchangeable punch and variable punch pattern

A selection plate (39) is provided on a punch device (1) for activating or deactivating individual punch holding bars (13, 14). The plate has a coupling device (40) associated with a positive-locking coupling between a punch holding bar (13, 14) and the selection plate (39). Associated with the positive-locking coupling is an edge of the selection plate (39), that is provided with a recess (42), an extension (43) of the punch holding bar (13, 14) that extends around said edge, and/or a rib (41), which is located on the underside of the selection plate (39) and is associated with the punch holding bar (13, 14). As a result, it is possible to selectively establish or eliminate a positive-locking connection between the punch holding bar (13, 14) and the selection plate (39). Via the rib (41), the punch stroke of the selection plate (39) is merely transmitted to the punch holding bar (13 or 14), which is in positive-locking engagement with the lateral edge or the projections of the selection plate (39). The elongated rib (41) covers the heads (26, 27, 28, 29) of the punches (8) and transmits the stroke in a planar manner to the punch holding bar (13 or 14).




pattern

Efficient third-order distributed feedback laser with enhanced beam pattern

A third-order distributed feedback laser has an active medium disposed on a substrate as a linear array of segments having a series of periodically spaced interstices therebetween and a first conductive layer disposed on a surface of the active medium on each of the segments and along a strip from each of the segments to a conductive electrical contact pad for application of current along a path including the active medium. Upon application of a current through the active medium, the active medium functions as an optical waveguide, and there is established an alternating electric field, at a THz frequency, both in the active medium and emerging from the interstices. Spacing of adjacent segments is approximately half of a wavelength of the THz frequency in free space or an odd integral multiple thereof, so that the linear array has a coherence length greater than the length of the linear array.




pattern

Stacked microelectronic packages having patterned sidewall conductors and methods for the fabrication thereof

Embodiments of a method for fabricating stacked microelectronic packages are provided, as are embodiments of a stacked microelectronic package. In one embodiment, the method includes arranging microelectronic device panels in a panel stack. Each microelectronic device panel includes a plurality of microelectronic devices and a plurality of package edge conductors extending therefrom. Trenches are formed in the panel stack exposing the plurality of package edge conductors. An electrically-conductive material is deposited into the trenches and contacts the plurality of package edge conductors exposed therethrough. The panel stack is then separated into partially-completed stacked microelectronic packages. For at least one of the partially-completed stacked microelectronic packages, selected portions of the electrically-conductive material are removed to define a plurality of patterned sidewall conductors interconnecting the microelectronic devices included within the stacked microelectronic package.




pattern

Enhanced patterning uniformity of gate electrodes of a semiconductor device by late gate doping

When forming sophisticated semiconductor-based gate electrode structures of transistors, the pre-doping of one type of gate electrode structure may be accomplished after the actual patterning of the electrode material by using an appropriate mask or fill material for covering the active regions and using a lithography mask. In this manner, a high degree of flexibility is provided with respect to selecting an appropriate patterning regime, while at the same time a uniform and superior cross-sectional shape for any type of gate electrode structure is obtained.




pattern

Pattern printing system and data processing method thereof

A pattern printing system and data processing method thereof are disclosed, which are suitable for printing patterns on printed circuit boards or data format rearrangement printing used in displays. The pattern printing method includes a process for interpreting scription data into matrix data, a procedure for modulating the print head resolution and the printing resolution, a procedure for interpreting and transmitting data commands, a procedure for rearranging memory data, and a procedure for firing data synchronously so as to achieve high-resolution printing and to continuously modulate any print data.




pattern

Pattern building game assembly with launching apparatus and methods

A game apparatus and a method using trays as the game board with vertical support columns, two sets of playing pieces and two launching devices. The one or more vertical support columns attach to the one or more trays to support the trays horizontally above a support surface. On the surface of each of the trays is a matrix and each element of the matrix has a recessed compartment orthogonal to the surface of the tray. Each recessed compartment is sized to hold a multiplicity of both types of playing pieces in a stacked manner. The recessed compartments may also be created so that the order and the number of the playing pieces in each recessed compartment are observable for additional variety in game play.