ef Sir William the Goat, referee disputes and the birth of the Iron Bowl: Tales of college football in the spring By www.espn.com Published On :: Fri, 1 May 2020 13:21:48 EST If college football is forced to play in the spring, it won't be the first time that has happened. You just have to go back to the 19th century. Full Article
ef Uruguayan Peso(UYU)/Venezuelan Bolivar Fuerte(VEF) By www.fx-exchange.com Published On :: Sat May 9 2020 16:23:51 UTC 1 Uruguayan Peso = 0.2315 Venezuelan Bolivar Fuerte Full Article Uruguayan Peso
ef Uzbekistan Som(UZS)/Venezuelan Bolivar Fuerte(VEF) By www.fx-exchange.com Published On :: Sat May 9 2020 16:23:50 UTC 1 Uzbekistan Som = 0.001 Venezuelan Bolivar Fuerte Full Article Uzbekistan Som
ef Report: FIU AD defers pay amid 22 furloughs By www.espn.com Published On :: Wed, 6 May 2020 20:44:33 EST Florida International University athletic director Pete Garcia will defer one year of salary while the school furloughs 22 athletic department employees, a source told The Associated Press. Full Article
ef Russian Ruble(RUB)/Venezuelan Bolivar Fuerte(VEF) By www.fx-exchange.com Published On :: Sat May 9 2020 16:23:50 UTC 1 Russian Ruble = 0.1361 Venezuelan Bolivar Fuerte Full Article Russian Ruble
ef Iraqi Dinar(IQD)/Venezuelan Bolivar Fuerte(VEF) By www.fx-exchange.com Published On :: Sat May 9 2020 16:23:49 UTC 1 Iraqi Dinar = 0.0084 Venezuelan Bolivar Fuerte Full Article Iraqi Dinar
ef Cayman Islands Dollar(KYD)/Venezuelan Bolivar Fuerte(VEF) By www.fx-exchange.com Published On :: Sat May 9 2020 16:23:48 UTC 1 Cayman Islands Dollar = 11.9818 Venezuelan Bolivar Fuerte Full Article Cayman Islands Dollar
ef Swiss Franc(CHF)/Venezuelan Bolivar Fuerte(VEF) By www.fx-exchange.com Published On :: Sat May 9 2020 11:00:02 UTC 1 Swiss Franc = 10.286 Venezuelan Bolivar Fuerte Full Article Swiss Franc
ef [Softball] Langston University Softball Defeats Haskell By www.haskellathletics.com Published On :: Wed, 19 Feb 2020 10:50:00 -0600 Full Article
ef CFA Franc BCEAO(XOF)/Venezuelan Bolivar Fuerte(VEF) By www.fx-exchange.com Published On :: Sat May 9 2020 16:23:47 UTC 1 CFA Franc BCEAO = 0.0165 Venezuelan Bolivar Fuerte Full Article CFA Franc BCEAO
ef Vietnamese Dong(VND)/Venezuelan Bolivar Fuerte(VEF) By www.fx-exchange.com Published On :: Sat May 9 2020 11:08:38 UTC 1 Vietnamese Dong = 0.0004 Venezuelan Bolivar Fuerte Full Article Vietnamese Dong
ef Macedonian Denar(MKD)/Venezuelan Bolivar Fuerte(VEF) By www.fx-exchange.com Published On :: Sat May 9 2020 16:23:47 UTC 1 Macedonian Denar = 0.1758 Venezuelan Bolivar Fuerte Full Article Macedonian Denar
ef Zambian Kwacha(ZMK)/Venezuelan Bolivar Fuerte(VEF) By www.fx-exchange.com Published On :: Sat May 9 2020 16:23:47 UTC 1 Zambian Kwacha = 0.0019 Venezuelan Bolivar Fuerte Full Article Zambian Kwacha
ef South Korean Won(KRW)/Venezuelan Bolivar Fuerte(VEF) By www.fx-exchange.com Published On :: Sat May 9 2020 15:20:36 UTC 1 South Korean Won = 0.0082 Venezuelan Bolivar Fuerte Full Article South Korean Won
ef Jordanian Dinar(JOD)/Venezuelan Bolivar Fuerte(VEF) By www.fx-exchange.com Published On :: Sat May 9 2020 8:04:02 UTC 1 Jordanian Dinar = 14.0767 Venezuelan Bolivar Fuerte Full Article Jordanian Dinar
ef Lebanese Pound(LBP)/Venezuelan Bolivar Fuerte(VEF) By www.fx-exchange.com Published On :: Sat May 9 2020 16:23:45 UTC 1 Lebanese Pound = 0.0066 Venezuelan Bolivar Fuerte Full Article Lebanese Pound
ef Bahraini Dinar(BHD)/Venezuelan Bolivar Fuerte(VEF) By www.fx-exchange.com Published On :: Sat May 9 2020 16:23:44 UTC 1 Bahraini Dinar = 26.4095 Venezuelan Bolivar Fuerte Full Article Bahraini Dinar
ef Chilean Peso(CLP)/Venezuelan Bolivar Fuerte(VEF) By www.fx-exchange.com Published On :: Sat May 9 2020 16:23:43 UTC 1 Chilean Peso = 0.0121 Venezuelan Bolivar Fuerte Full Article Chilean Peso
ef Maldivian Rufiyaa(MVR)/Venezuelan Bolivar Fuerte(VEF) By www.fx-exchange.com Published On :: Sat May 9 2020 16:21:59 UTC 1 Maldivian Rufiyaa = 0.6442 Venezuelan Bolivar Fuerte Full Article Maldivian Rufiyaa
ef Malaysian Ringgit(MYR)/Venezuelan Bolivar Fuerte(VEF) By www.fx-exchange.com Published On :: Sat May 9 2020 16:21:54 UTC 1 Malaysian Ringgit = 2.3044 Venezuelan Bolivar Fuerte Full Article Malaysian Ringgit
ef Nicaraguan Cordoba Oro(NIO)/Venezuelan Bolivar Fuerte(VEF) By www.fx-exchange.com Published On :: Sat May 9 2020 16:21:53 UTC 1 Nicaraguan Cordoba Oro = 0.2903 Venezuelan Bolivar Fuerte Full Article Nicaraguan Cordoba Oro
ef Burrow 'waiting to see' before inking Bengals deal By www.espn.com Published On :: Fri, 8 May 2020 17:27:59 EST The top overall pick in this year's draft said he hasn't signed his contract with the team as he's in a holding period because of the coronavirus pandemic. Full Article
ef Netherlands Antillean Guilder(ANG)/Venezuelan Bolivar Fuerte(VEF) By www.fx-exchange.com Published On :: Sat May 9 2020 16:21:53 UTC 1 Netherlands Antillean Guilder = 5.5634 Venezuelan Bolivar Fuerte Full Article Netherlands Antillean Guilder
ef Estonian Kroon(EEK)/Venezuelan Bolivar Fuerte(VEF) By www.fx-exchange.com Published On :: Sat May 9 2020 16:21:52 UTC 1 Estonian Kroon = 0.7003 Venezuelan Bolivar Fuerte Full Article Estonian Kroon
ef Danish Krone(DKK)/Venezuelan Bolivar Fuerte(VEF) By www.fx-exchange.com Published On :: Sat May 9 2020 16:21:52 UTC 1 Danish Krone = 1.4515 Venezuelan Bolivar Fuerte Full Article Danish Krone
ef Fiji Dollar(FJD)/Venezuelan Bolivar Fuerte(VEF) By www.fx-exchange.com Published On :: Sat May 9 2020 16:21:51 UTC 1 Fiji Dollar = 4.4329 Venezuelan Bolivar Fuerte Full Article Fiji Dollar
ef New Zealand Dollar(NZD)/Venezuelan Bolivar Fuerte(VEF) By www.fx-exchange.com Published On :: Sat May 9 2020 16:21:51 UTC 1 New Zealand Dollar = 6.1303 Venezuelan Bolivar Fuerte Full Article New Zealand Dollar
ef Croatian Kuna(HRK)/Venezuelan Bolivar Fuerte(VEF) By www.fx-exchange.com Published On :: Sat May 9 2020 16:21:50 UTC 1 Croatian Kuna = 1.4394 Venezuelan Bolivar Fuerte Full Article Croatian Kuna
ef Peruvian Nuevo Sol(PEN)/Venezuelan Bolivar Fuerte(VEF) By www.fx-exchange.com Published On :: Sat May 9 2020 7:57:03 UTC 1 Peruvian Nuevo Sol = 2.9383 Venezuelan Bolivar Fuerte Full Article Peruvian Nuevo Sol
ef [Haskell Indians] Haskell Athletics Cancels Spring Seasons Effective Immediately By www.haskellathletics.com Published On :: Mon, 16 Mar 2020 09:35:00 -0600 Full Article
ef [Cross Country] Cross Country Runs Well Last Meet Before A.I.I. Championship Meet By www.haskellathletics.com Published On :: Tue, 29 Oct 2019 14:25:00 -0600 Haskell Cross Country teams traveled to Mount Mercy in Iowa this past Saturday and performed well a week before A.I.I. Championship Meet on Saturday 11/9/19. Full Article
ef Dominican Peso(DOP)/Venezuelan Bolivar Fuerte(VEF) By www.fx-exchange.com Published On :: Sat May 9 2020 16:21:46 UTC 1 Dominican Peso = 0.1815 Venezuelan Bolivar Fuerte Full Article Dominican Peso
ef Papua New Guinean Kina(PGK)/Venezuelan Bolivar Fuerte(VEF) By www.fx-exchange.com Published On :: Sat May 9 2020 16:21:46 UTC 1 Papua New Guinean Kina = 2.9115 Venezuelan Bolivar Fuerte Full Article Papua New Guinean Kina
ef Brunei Dollar(BND)/Venezuelan Bolivar Fuerte(VEF) By www.fx-exchange.com Published On :: Sat May 9 2020 16:21:45 UTC 1 Brunei Dollar = 7.067 Venezuelan Bolivar Fuerte Full Article Brunei Dollar
ef [Men's Basketball] Haskell Men's Basketball Defeat Nebraska Christian College By www.haskellathletics.com Published On :: Thu, 16 Jan 2020 17:30:00 -0600 Full Article
ef How do I write the LEF view of a power pad By feedproxy.google.com Published On :: Wed, 19 Feb 2020 18:13:00 GMT I have a set of pads for use in a design and I was wondering which attributes should I put on each pin. Let's say it has the following pins: - inh_vdd, inh_vss, CORE, PAD where the first two are for the pad rings, the CORE pin is to use in the die and the PAD pin is the bonding pad. I guess CORE would need: CLASS CORE USE POWER (or GROUND if this happened to be a ground pad) What about the inh_vdd and inh_vss? Theyu would not have the CLASS CORE, but would I use USE POWER/GROUND on them too? USE POWER (or GROUND) SHAPE ABUTMENT And the bonding pad? Should I put it in the LEF? Or would that cause confusion to innovus or Voltus? And what attributed would it use? USE POWER/GROUND only? Do I need anything in the LEF to indicate that the pin CORE and the pin PAD are essentially the same thing, just different places on the same power pad? Full Article
ef How to customize default_hdl_checks/rules in CCD conformal constraint designer By feedproxy.google.com Published On :: Tue, 03 Sep 2019 08:12:48 GMT Dear all, I am using Conformal Constraint Designer (Version 17.1) to analyse a SystemVerilog based design. While performing default HDL checks it finds some violations (issues) in RTL and complains (warnings, etc) about RTL checks and others. My questions: Is there any directive which I can add to RTL (system Verilog) so that particular line of code or signal is ignored or not checked for HDL or RTL checks. I can set ignore rules in rule manager (gui) but it does not seems effective if code line number changes or new signals are introduced. What is the best way to customize default_hdl_rules ? I will be grateful for your guidance. Thanks for your time. Full Article
ef genus include `define file By feedproxy.google.com Published On :: Mon, 25 Nov 2019 15:35:21 GMT I have a file that list all the `defines that is used in the current design. This file (define.vh) is generated, like so : `define MACRO_1 5 `define MACRO_2 1'h0 ... etc But in genus when I run the command read_hdl define.vh read_hdl -sv top.sv The tool work as if the defines never get parsed and returns with unreferenced errors. How can I resolve this? Do I have to include 'define.vh' in all the design files? Full Article
ef How to dump waveform, fsdb in SimVision? By feedproxy.google.com Published On :: Thu, 09 Jan 2020 02:30:31 GMT As title, How to dump waveform, fsdb in SimVision? (Simulation Analysis Environment SimVision(64) 18.09-s001)Please help. Thanks. Full Article
ef Default param values not saved in OA cell property. By feedproxy.google.com Published On :: Tue, 05 May 2020 06:34:40 GMT When I place a pcell and do not change the W parameter (default is used) the value is not saved in the OA cell property. When I change the default value of the super master now, the old pcell will get the new default value automatically because there is nothing saved inside the OA cell for this parameter. Do you have any Idea, that how we can save the default values in the OA cell properties so that this value doesn't get updated if the default values are updated in the new PDKs Full Article
ef Verification Reflections on 2018 By feedproxy.google.com Published On :: Thu, 20 Dec 2018 15:57:00 GMT In my predictions for 2018 I had identified five key trends driving verification in 2018 – Security, Safety, Application Specificity, Processor Ecosystems and System Design Enablement, all centered around ecosystems. Looking back now as the yea...(read more) Full Article security functional safety verification
ef Design library not defined while reading module with ncsim By feedproxy.google.com Published On :: Fri, 25 Oct 2019 08:27:37 GMT Hi supporters, I got the following error while I run simulation with gate netlist using Cadence Incisive (v15.20): ---- ncsim(64): 15.20-s076: (c) Copyright 1995-2019 Cadence Design Systems, Inc.ncsim: *E,DLOALB: Design library 'tcbnxxx' not defined while reading module tcbnxxx.MAOxxx:bv (VST).ncsim: *F,NOSIMU: Errors initializing simulation 'alu_tb' ---- xxx: standard library name. My netlist design uses a cell "MAOxxx". I already included the library behavior model to compile using ncverilog, there is no error while compiling. But when I run with ncsim to execute the test, I got above error. I tried to run with other vendors such as VCS or MTI, they worked. Please help to understand the error. Thanks. Full Article
ef How to run a regressive test and merge the ncsim.trn file of all test into a single file to view the waveform in simvision ? By feedproxy.google.com Published On :: Mon, 13 Jan 2020 12:04:01 GMT Hi all, I want to know how to run a regressive test in cadence and merge all ncsim .trn file of each test case into a single file to view all waveform in simvision. I am using Makefile to invoke the test case. eg:- test0: irun -uvm -sv -access +rwc $(RTL) $(INTER) $(PKG) $(TOP) $(probe) +UVM_VERBOSITY=UVM_MEDIUM +UVM_TESTNAME=test0 test1: irun -uvm -sv -access +rwc $(RTL) $(INTER) $(PKG) $(TOP) $(probe) +UVM_VERBOSITY=UVM_MEDIUM +UVM_TESTNAME=test1 I just to call test0 followed by test1 or parallel both test and view the waveform for both tests case. I new to this tool and help me with it Full Article
ef How to refer the library compiled by INCISIVE 13.20 in Xcelium 19.30 By feedproxy.google.com Published On :: Wed, 19 Feb 2020 08:56:22 GMT Hi, I am facing this elaboration error when using Xcelium: Command> xmverilog -v200x +access+r +xm64bit -f vlist -reflib plib -timescale 1ns/1ps Log> xmelab: *E,CUVMUR (<name>.v,538|18): instance 'LUTP0.C GLAT3' of design unit 'tlatntscad12' is unresolved in 'worklib.LUTP0:v'. I guess the plib was not referred to as the simulation configuration because the tlatntscad12 is included in plib. The plib is compiled by INCISIVE 13.20 and I am using the Xcelium 19.30. Please tell me the correct command on how to refer to the library directory compiled by different versions. Thank you, Full Article
ef Multiple parts for single reference designator By feedproxy.google.com Published On :: Tue, 28 Apr 2020 15:34:37 GMT Variants seem to be defined as present or not present. Is there a variant that can assign different parts to the same reference designator? i.e. R17 can be either 0 ohm 0805 jumper or 12k ohms 0805 resistor. The simplest way I can think of is to use two parts with the same footprint and overlay them. Is there a more functional way of doing this? So that the variant would put the correct part in the BOM and the parts would of course have the same identical footprint. Full Article
ef Specman Makefile generator utility By feedproxy.google.com Published On :: Tue, 02 Dec 2008 08:31:45 GMT I've heard lots of people asking for a way to generate Makefiles for Specman code, and it seems there are some who don't use "irun" which takes care of this automatically. So I wrote this little utility to build a basic Makefile based on the compiled and loaded e code.It's really easy to use: at any time load the snmakedeps.e into Specman, and use "write makefile <name> [-ignore_test]".This will dump a Makefile with a set of variables corresponding to the loaded packages, and targets to build any compiled modules.Using -ignore_test will avoid having the test file in the Makefile, in case you switch tests often (who doesn't?).It also writes a stub target so you can do "make stub_ncvlog" or "make stub vhdl" etc.The targets are pretty basic, I thought it was more useful to #include this into the main Makefile and define your own more complex targets / dependencies as required.The package uses the "reflection" facility of the e language, which is now documented since Specman 8.1, so you can extend this utility if you want (please share any enhancements you make). Enjoy! :-)Steve. Full Article
ef vr_ad register definition utility By feedproxy.google.com Published On :: Tue, 13 Jan 2009 06:55:41 GMT Hi All.I put together a small Perl script to generate vr_ad register definitions from SPIRIT (IP-XACT) XML.If you've got not idea what IP-XACT is, have a look here http://www.spiritconsortium.org/, then start pestering your design manager to use it :-)The script can filter out registers and override R/W access types if needed.An example XML file is included with the package so that you can play with it, and there's a detailed README.txt as well.Here's an example of the generated e code:// Automatically generated from xdmac.xml// DO NOT EDIT, or your changes may be lost<'import vr_ad/e/vr_ad_top;// Component = XDMAC// memoryMap = xdmacextend vr_ad_map_kind : [XDMAC];// addressBlock = dma_ethextend vr_ad_reg_file_kind : [DMA_ETH];extend DMA_ETH vr_ad_reg_file { keep size == 20; keep addressing_width_in_bytes == 4;};// Register = command// Reset = 0x00reg_def COMMAND DMA_ETH 0x0 { // Field resv3 = command[31:29] reg_fld resv3 : uint(bits:3) : R : 0 : cov ; // Field transfer_size = command[28:19] reg_fld transfer_size : uint(bits:10) : RW : 0 : cov ; // Field dma_transfer_target = command[18:14] reg_fld dma_transfer_target : uint(bits:5) : RW : 0 : cov ; // Field resv2 = command[13:10] reg_fld resv2 : uint(bits:4) : R : 0 : cov ; // Field transmit_receive = command[9:9] reg_fld transmit_receive : uint(bits:1) : RW : 0 : cov ; // Field resv1 = command[8:5] reg_fld resv1 : uint(bits:4) : R : 0 : cov ; // Field dest_address_enable = command[4:4] reg_fld dest_address_enable : uint(bits:1) : RW : 0 : cov ; // Field source_address_enable = command[3:3] reg_fld source_address_enable : uint(bits:1) : RW : 0 : cov ; // Field word_size = command[2:0] reg_fld word_size : uint(bits:3) : R : 0 : cov ;};// Register = queue_exec// Reset = 0x00reg_def QUEUE_EXEC DMA_ETH 0x10 { // Field resv = queue_exec[31:1] reg_fld resv : uint(bits:31) : R : 0 : cov ; // Field exec = queue_exec[0:0] reg_fld exec : uint(bits:1) : RW : 0 : cov ;};extend XDMAC vr_ad_map { dma_eth : DMA_ETH vr_ad_reg_file; post_generate() is also { add_with_offset(0x00, dma_eth); dma_eth.reset(); };}'> Any comments, please feed them back to me so I can enhance the script. Note that this forum forces me to post a .zip file rather than .tgz, please be careful to unpack the file under Linux, not Windows, else the DOS linefeeds will corrupt the Perl and XML files. Steve Full Article
ef error in output waveform By feedproxy.google.com Published On :: Fri, 01 Jul 2011 03:08:55 GMT hi,i am doing a project on synchronous fifo design using verilog. below written is my coding. after simulation the waveform is showing error regarding its not giving value of rdata_valid and is showing a red line in waveform and due to it address is also not being taken.i have attached the waveform also. the logic for write logic is also not accepting the address(no change occurs while changing value of read_ptr). i have attached my file with it so plz refer to it.plz help me out in this. your guidance and solns will help me in completing my project work.thank youlov sareen Full Article
ef Creating cover items for sparse values/queue or define in specman By feedproxy.google.com Published On :: Fri, 12 Jul 2019 17:51:31 GMT Hello, I have a question I want to create a cover that consists a sparse values, pre-computed (a list or define) for example l = {1; 4; 7; 9; 2048; 700} I'd like to cover that data a (uint(bits:16)) had those values, Any suggestion on how to achieve this, I'd prefer to stay away from macros, and avoid to write a lot of code struct inst { data :uint(bits:16); opcode :uint(bits:16); !valid_data : list of uint(bits:16) = {0; 12; 10; 700; 890; 293;}; event data_e; event opcode_e; cover data_e is { item data using radix = HEX, ranges = { //I dont want to write all of this range([0], "My range1"); range([10], "My range2"); //... many values in between range([700], "My rangen"); }; item opcode; cross data, opcode; }; post_generate() is also { emit data_e; };}; Full Article
ef Unable to Import .v files with `define using "Cadence Verilog In" tool By feedproxy.google.com Published On :: Wed, 29 Apr 2020 00:12:42 GMT Hello, I am trying to import multiple verilog modules defined in a single file with "`define" directive in the top using Verilog In. The code below is an example of what my file contains. When I use the settings below to import the modules into a library, it imports it correctly but completely ignores all `define directive; hence when I simulate using any of the modules below the simulator errors out requesting these variables. My question: Is there a way to make Verilog In consider `define directives in every module cell created? Code to be imported by Cadence Verilog In: -------------------------------------------------------- `timescale 1ns/1ps`define PROP_DELAY 1.1`define INVALID_DELAY 1.3 `define PERIOD 1.1`define WIDTH 1.6`define SETUP_TIME 2.0`define HOLD_TIME 0.5`define RECOVERY_TIME 3.0`define REMOVAL_TIME 0.5`define WIDTH_THD 0.0 `celldefinemodule MY_FF (QN, VDD, VSS, A, B, CK); inout VDD, VSS;output QN;input A, B, CK;reg NOTIFIER;supply1 xSN,xRN; buf IC (clk, CK); and IA (n1, A, B); udp_dff_PWR I0 (n0, n1, clk, xRN, xSN, VDD, VSS, NOTIFIER); not I2 (QN, n0); wire ENABLE_B ;wire ENABLE_A ;assign ENABLE_B = (B) ? 1'b1:1'b0;assign ENABLE_A = (A) ? 1'b1:1'b0; specify$setuphold(posedge CK &&& (ENABLE_B == 1'b1), posedge A, `SETUP_TIME, `HOLD_TIME, NOTIFIER);$setuphold(posedge CK &&& (ENABLE_B == 1'b1), negedge A, `SETUP_TIME, `HOLD_TIME, NOTIFIER);$setuphold(posedge CK &&& (ENABLE_A == 1'b1), posedge B, `SETUP_TIME, `HOLD_TIME, NOTIFIER);$setuphold(posedge CK &&& (ENABLE_A == 1'b1), negedge B, `SETUP_TIME, `HOLD_TIME, NOTIFIER);$width(posedge CK,1.0,0.0,NOTIFIER);$width(negedge CK,1.0,0.0,NOTIFIER);if (A==1'b0 && B==1'b0)(posedge CK => (QN:1'bx)) = (1.0, 1.0);if (A==1'b1 && B==1'b0)(posedge CK => (QN:1'bx)) = (1.0, 1.0);if (B==1'b1)(posedge CK => (QN:1'bx)) = (1.0,1.0); endspecify endmodule // MY_FF`endcelldefine `timescale 1ns/1ps`celldefinemodule MY_FF2 (QN, VDD, VSS, A, B, CK); inout VDD, VSS;output QN;input A, B, CK;reg NOTIFIER;supply1 xSN,xRN; buf IC (clk, CK); and IA (n1, A, B); udp_dff_PWR I0 (n0, n1, clk, xRN, xSN, VDD, VSS, NOTIFIER); not I2 (QN, n0); wire ENABLE_B ;wire ENABLE_A ;assign ENABLE_B = (B) ? 1'b1:1'b0;assign ENABLE_A = (A) ? 1'b1:1'b0; specify$setuphold(posedge CK &&& (ENABLE_B == 1'b1), posedge A, `SETUP_TIME, `HOLD_TIME, NOTIFIER);$setuphold(posedge CK &&& (ENABLE_B == 1'b1), negedge A, `SETUP_TIME, `HOLD_TIME, NOTIFIER);$setuphold(posedge CK &&& (ENABLE_A == 1'b1), posedge B, `SETUP_TIME, `HOLD_TIME, NOTIFIER);$setuphold(posedge CK &&& (ENABLE_A == 1'b1), negedge B, `SETUP_TIME, `HOLD_TIME, NOTIFIER);$width(posedge CK,1.0,0.0,NOTIFIER);$width(negedge CK,1.0,0.0,NOTIFIER);if (A==1'b0 && B==1'b0)(posedge CK => (QN:1'bx)) = (1.0, 1.0);if (A==1'b1 && B==1'b0)(posedge CK => (QN:1'bx)) = (1.0, 1.0);if (B==1'b1)(posedge CK => (QN:1'bx)) = (1.0,1.0); endspecify endmodule // MY_FF2`endcelldefine -------------------------------------------------------- I am using the following Cadence versions: MMSIM Version: 13.1.1.660.isr18 Virtuoso Version: IC6.1.8-64b.500.1 irun Version: 14.10-s039 Spectre Version: 18.1.0.421.isr9 Full Article