ali Bangladeshi Taka(BDT)/Australian Dollar(AUD) By www.fx-exchange.com Published On :: Sat May 9 2020 16:17:20 UTC 1 Bangladeshi Taka = 0.018 Australian Dollar Full Article Bangladeshi Taka
ali Moldovan Leu(MDL)/Australian Dollar(AUD) By www.fx-exchange.com Published On :: Sat May 9 2020 16:23:53 UTC 1 Moldovan Leu = 0.0858 Australian Dollar Full Article Moldovan Leu
ali Colombian Peso(COP)/Australian Dollar(AUD) By www.fx-exchange.com Published On :: Sat May 9 2020 16:23:52 UTC 1 Colombian Peso = 0.0004 Australian Dollar Full Article Colombian Peso
ali Uruguayan Peso(UYU)/Australian Dollar(AUD) By www.fx-exchange.com Published On :: Sat May 9 2020 16:23:51 UTC 1 Uruguayan Peso = 0.0355 Australian Dollar Full Article Uruguayan Peso
ali Uzbekistan Som(UZS)/Australian Dollar(AUD) By www.fx-exchange.com Published On :: Sat May 9 2020 16:23:50 UTC 1 Uzbekistan Som = 0.0002 Australian Dollar Full Article Uzbekistan Som
ali Italian duo lose senior season after helping FIU find beach volleyball success By www.espn.com Published On :: Fri, 1 May 2020 09:03:58 EST FIU's Margherita Bianchin and Federica Frasca opted to stay in South Florida rather than return to Italy, where the coronavirus struck ahead of the United States. Full Article
ali Gophers, Badgers dealing with budget crunch By www.espn.com Published On :: Sat, 9 May 2020 11:50:35 EST With the end of this virus-disrupted school year drawing nearer, a predictably bleak financial outlook for major college sports has emerged from the budgeting process. Full Article
ali Russian Ruble(RUB)/Australian Dollar(AUD) By www.fx-exchange.com Published On :: Sat May 9 2020 16:23:50 UTC 1 Russian Ruble = 0.0208 Australian Dollar Full Article Russian Ruble
ali Iraqi Dinar(IQD)/Australian Dollar(AUD) By www.fx-exchange.com Published On :: Sat May 9 2020 16:23:49 UTC 1 Iraqi Dinar = 0.0013 Australian Dollar Full Article Iraqi Dinar
ali Cayman Islands Dollar(KYD)/Australian Dollar(AUD) By www.fx-exchange.com Published On :: Sat May 9 2020 16:23:48 UTC 1 Cayman Islands Dollar = 1.836 Australian Dollar Full Article Cayman Islands Dollar
ali Swiss Franc(CHF)/Australian Dollar(AUD) By www.fx-exchange.com Published On :: Sat May 9 2020 11:00:02 UTC 1 Swiss Franc = 1.5761 Australian Dollar Full Article Swiss Franc
ali CFA Franc BCEAO(XOF)/Australian Dollar(AUD) By www.fx-exchange.com Published On :: Sat May 9 2020 16:23:47 UTC 1 CFA Franc BCEAO = 0.0025 Australian Dollar Full Article CFA Franc BCEAO
ali Vietnamese Dong(VND)/Australian Dollar(AUD) By www.fx-exchange.com Published On :: Sat May 9 2020 11:08:38 UTC 1 Vietnamese Dong = 0.0001 Australian Dollar Full Article Vietnamese Dong
ali Macedonian Denar(MKD)/Australian Dollar(AUD) By www.fx-exchange.com Published On :: Sat May 9 2020 16:23:47 UTC 1 Macedonian Denar = 0.0269 Australian Dollar Full Article Macedonian Denar
ali Zambian Kwacha(ZMK)/Australian Dollar(AUD) By www.fx-exchange.com Published On :: Sat May 9 2020 16:23:47 UTC 1 Zambian Kwacha = 0.0003 Australian Dollar Full Article Zambian Kwacha
ali South Korean Won(KRW)/Australian Dollar(AUD) By www.fx-exchange.com Published On :: Sat May 9 2020 15:20:36 UTC 1 South Korean Won = 0.0013 Australian Dollar Full Article South Korean Won
ali Jordanian Dinar(JOD)/Australian Dollar(AUD) By www.fx-exchange.com Published On :: Sat May 9 2020 8:04:02 UTC 1 Jordanian Dinar = 2.157 Australian Dollar Full Article Jordanian Dinar
ali Lebanese Pound(LBP)/Australian Dollar(AUD) By www.fx-exchange.com Published On :: Sat May 9 2020 16:23:45 UTC 1 Lebanese Pound = 0.001 Australian Dollar Full Article Lebanese Pound
ali Bahraini Dinar(BHD)/Australian Dollar(AUD) By www.fx-exchange.com Published On :: Sat May 9 2020 16:23:44 UTC 1 Bahraini Dinar = 4.0468 Australian Dollar Full Article Bahraini Dinar
ali Chilean Peso(CLP)/Australian Dollar(AUD) By www.fx-exchange.com Published On :: Sat May 9 2020 16:23:43 UTC 1 Chilean Peso = 0.0019 Australian Dollar Full Article Chilean Peso
ali [Women's Outdoor Track & Field] Freshman Talisa Budder Qualifies for Track Nationals By www.haskellathletics.com Published On :: Sun, 04 Dec 2011 19:10:00 -0600 In November 2011, Talisa Budder from Kenwood, OK qualified for the 2011 NAIA Women's Cross Country National Championships. Upon her return to the Haskell campus she began training for the track program. Full Article
ali Maldivian Rufiyaa(MVR)/Australian Dollar(AUD) By www.fx-exchange.com Published On :: Sat May 9 2020 16:21:59 UTC 1 Maldivian Rufiyaa = 0.0987 Australian Dollar Full Article Maldivian Rufiyaa
ali Malaysian Ringgit(MYR)/Australian Dollar(AUD) By www.fx-exchange.com Published On :: Sat May 9 2020 16:21:54 UTC 1 Malaysian Ringgit = 0.3531 Australian Dollar Full Article Malaysian Ringgit
ali Nicaraguan Cordoba Oro(NIO)/Australian Dollar(AUD) By www.fx-exchange.com Published On :: Sat May 9 2020 16:21:53 UTC 1 Nicaraguan Cordoba Oro = 0.0445 Australian Dollar Full Article Nicaraguan Cordoba Oro
ali Why trainers are concerned about the transition from virtual to reality By www.espn.com Published On :: Wed, 6 May 2020 08:22:25 EST Players are working out creatively, but can't replace the intensity of team training. Full Article
ali Netherlands Antillean Guilder(ANG)/Australian Dollar(AUD) By www.fx-exchange.com Published On :: Sat May 9 2020 16:21:53 UTC 1 Netherlands Antillean Guilder = 0.8525 Australian Dollar Full Article Netherlands Antillean Guilder
ali Estonian Kroon(EEK)/Australian Dollar(AUD) By www.fx-exchange.com Published On :: Sat May 9 2020 16:21:52 UTC 1 Estonian Kroon = 0.1073 Australian Dollar Full Article Estonian Kroon
ali Danish Krone(DKK)/Australian Dollar(AUD) By www.fx-exchange.com Published On :: Sat May 9 2020 16:21:52 UTC 1 Danish Krone = 0.2224 Australian Dollar Full Article Danish Krone
ali Fiji Dollar(FJD)/Australian Dollar(AUD) By www.fx-exchange.com Published On :: Sat May 9 2020 16:21:51 UTC 1 Fiji Dollar = 0.6793 Australian Dollar Full Article Fiji Dollar
ali New Zealand Dollar(NZD)/Australian Dollar(AUD) By www.fx-exchange.com Published On :: Sat May 9 2020 16:21:51 UTC 1 New Zealand Dollar = 0.9394 Australian Dollar Full Article New Zealand Dollar
ali Croatian Kuna(HRK)/Australian Dollar(AUD) By www.fx-exchange.com Published On :: Sat May 9 2020 16:21:50 UTC 1 Croatian Kuna = 0.2206 Australian Dollar Full Article Croatian Kuna
ali Peruvian Nuevo Sol(PEN)/Australian Dollar(AUD) By www.fx-exchange.com Published On :: Sat May 9 2020 7:57:03 UTC 1 Peruvian Nuevo Sol = 0.4502 Australian Dollar Full Article Peruvian Nuevo Sol
ali Dominican Peso(DOP)/Australian Dollar(AUD) By www.fx-exchange.com Published On :: Sat May 9 2020 16:21:46 UTC 1 Dominican Peso = 0.0278 Australian Dollar Full Article Dominican Peso
ali Papua New Guinean Kina(PGK)/Australian Dollar(AUD) By www.fx-exchange.com Published On :: Sat May 9 2020 16:21:46 UTC 1 Papua New Guinean Kina = 0.4461 Australian Dollar Full Article Papua New Guinean Kina
ali Brunei Dollar(BND)/Australian Dollar(AUD) By www.fx-exchange.com Published On :: Sat May 9 2020 16:21:45 UTC 1 Brunei Dollar = 1.0829 Australian Dollar Full Article Brunei Dollar
ali SemiEngineering Article: Why IP Quality Is So Difficult to Determine By feedproxy.google.com Published On :: Fri, 07 Jun 2019 19:53:00 GMT Differentiating good IP from mediocre or bad IP is getting more difficult, in part because it depends upon how and where it is used and in part, because even the best IP may work better in one system than another—even in chips developed by the same vendor. So, how do you measure IP quality and why it is so complicated? The answer depends on who is asking. Most of the time, the definition of IP quality depends on your vantage point. If you are an R&D manager, IP quality means something. If you are a global supply manager, IP quality means something else. If you are an SoC start-up, your measure of quality is quite different from that of an established fabless company. If you are designing IP in-house, then your considerations are very different than being a commercial IP vendor. If you are designing an automotive SoC, then we are in a totally different category. How about as an IP vendor? How do you articulate IP quality metrics to your customers? This varies greatly by the type of IP, as well. When it comes to interface (hard) IP and controllers, if you are an R&D manager, your goal is to design IP that meets the IP specifications and PPA (power, performance, and area) targets. You need to validate your design via silicon test chips. This applies to all hard PHYs, which must be mapped to a particular foundry process. For controllers that are in RTL form—we called these soft IP—you have to synthesize them into a particular target library in a particular foundry process in order to realize them in a physical form suitable for SoC integration. Of course, your design will need to go through a series of design validation steps via simulation, design verification and passing the necessary DRC checks, etc. In addition, you want to see the test silicon in various process corners to ensure the IP is robust and will perform well under normal process variations in the production wafers. For someone in IP procurement, the measure of quality will be based on the maturity of the IP. This involves the number of designs that have been taped out using this IP and the history of bug reports and subsequent fixes. You will be looking for quality of the documentation and the technical deliverables. You will also benchmark the supplier’s standard operating procedures for bug reporting and technical support, as well as meeting delivery performance in prior programs. This is in addition to the technical teams doing their technical diligence. An in-house team that is likely to design IP for a particular SoC project will be using an established design flow and will have legacy knowledge of last generation’s IP. They may be required to design the IP with some reusability in mind for future programs. However, such reusability requirements will not need to be as stringent and as broad as those of commercial IP vendors because there are likely to be established metrics and procedures in place to follow as part of the design team’s standard operating procedures. Many times, new development based on a prior design that has been proven in use will be started, given this stable starting point. All of these criteria help the team achieve a quality outcome more easily. Then, if designing for an automotive SoC, additional heavy lifting is required. Aside from ensuring that the IP meets the specifications of the protocol standards and passes the compliance testing, you also must pay attention to meeting functional safety requirements. This means adherence to ISO 26262 requirements and subsequently achieving ASIL certification. Oftentimes, even for IP, you must perform some AEC-Q100-related tests that are relevant to IP, such as ESD, LU, and HTOL. To read more, please visit: https://semiengineering.com/why-ip-quality-is-so-difficult-to-determine/ Full Article IP cadence IP blocks Automotive Ethernet ip cores Tensilica semiconductor IP Design IP and Verification IP
ali Did You “Stress Test” Yet? Essential Step to Ensure a Quality PCIe 4.0 Product By feedproxy.google.com Published On :: Thu, 17 Oct 2019 18:30:00 GMT The PCI-SIG finalized the PCIe 4.0 specification with doubling the data to 16GT/s from 8GT/s in PCIe 3.0 in 2017. Products implementing this technology have begun to hit the market in 2019. Earlier this year, AMD announced it X570 chipset would support the PCIe 4.0 interface and Phison also introduced the world’s first PCIe 4.0 SSD. With the increasing companies are working on PCIe 4.0 related product development, Cadence, as the key and leading PCIe IP solution vendor in the market, has strived for continuous enhancement of its PCIe 4.0 to be the best in the class IP solution. From our initial PCIe 4.0 solution in 4 years ago (revealed in 2015), we have made many advancements and improvements adding features such as Multi-link with any lane assignment, U.2/U.3 connector, and Automotive support. The variety of applications that PCIe4 finds a home in require extensive robustness and reliability testing over and above the compliance tests mandated by the standard body, i.e., PCI-SIG. PCIe 4.0 TX Eye-Diagram, Loop-back Test (Long-reach) and RX JTOL Margin Test Cadence IP team has also implemented additional "stress tests" in conjunction to its already comprehensive IP characterization plan, covering electrical, functional, ESD, Latch-up, HTOL, and yield sorting. Take the Receiver Jitter Tolerance Test (JTOL) for instance. JTOL is a key index to test the quality of the receiver of a system. This test use data generator/analyzer to send data to a SerDes receiver which is then looped back through the transmitter back to the instrument. The data received is compared to the data generated and the errors are counted. The data generator introduce jitter into the transmit data pattern to see how well the receiver functions under non-ideal conditions. While PCI-SIG compliance can be obtained on a single lane implementation, real world scenarios require wider implementations under atypical operating conditions. Cadence’s PCIe 4.0 IP was tested against to additional stressed conditions, such as different combination of multi-lanes operations, “temperature drift” conditions, e.g., bring up the chip at room temperature and check the JTOL at high temperature. PCIe 4.0 Sub-system Stress Test Setup Besides complying with electrical parameters and protocol requirements, real world systems have idiosyncrasies of their own. Cadence IP team also built a versatile “System test” setup in house to perform a system level stress test of its PCIe 4.0 sub-system. The Cadence PCIe 4.0 sub-system is connected to a large number of server and desktop motherboards. This set up is tested with 1000s of cycles of repeated stress under varying operating conditions. Stress tests include speed change from 2.5G all the way to 16G and down, link enable/disable, cold boot, warm boot, entering and exiting low power states, and BER test sweeping presets across different channels. Performing this level of stress test verifies that our IP will operate to spec robustly and reliably when presented with the occasional corner cases in the real world. More Information For the demonstration of Cadence PCIe4 PHY Receiver Test and Sub-system Stress Test, see the video: PCIe 4.0 Sub-system Stress Test PCIe 4.0 PHY Receiver JTOL Test For more information on Cadence's PCIe IP offerings, see our PCI Express page. For more information on PCIe in general, and on the various PCI standards, see the PCI-SIG website. Related Posts Blog: PCIe Gen4: It’s Official, We’re Compliant Blog: PCIe 3.0 Still Shines While PCIe Keeps Evolving Blog: The PCIe 4.0 Era Continues at PCI-SIG Developers Conference 2016 Full Article PCIe controller Design IP IP PCIe Gen4 PHY IP design PCIe semiconductor IP SerDes PCIe PHY PCI Express
ali Extrowords #102: Generalissimo 73 By feedproxy.google.com Published On :: 2007-12-10T18:27:00+00:00 Sample clues 5 across: The US president’s bird (3,5,3) 11 down: Group once known as the Quarrymen (7) 10 across: Cavalry sword (5) 19 across: Masonic ritual (5,6) 1 down: Pioneer of Ostpolitik (6) Extrowords © 2007 IndiaUncut.com. All rights reserved. India Uncut * The IU Blog * Rave Out * Extrowords * Workoutable * Linkastic Full Article
ali Extrowords #103: Generalissimo 74 By feedproxy.google.com Published On :: 2007-12-11T15:27:00+00:00 Sample clues 14 across: FDR’s baby (3,4) 1 down: A glitch in the Matrix? (4,2) 4 down: Slanted character (6) 5 down: New Year’s venue in New York (5,6) 16 down: Atmosphere of melancholy (5) Extrowords © 2007 IndiaUncut.com. All rights reserved. India Uncut * The IU Blog * Rave Out * Extrowords * Workoutable * Linkastic Full Article
ali Extrowords #104: Generalissimo 74 By feedproxy.google.com Published On :: 2007-12-13T18:18:00+00:00 Sample clues 6 across: Alejandro González Iñárritu’s breakthrough film (6,6) 19 across: Soft leather shoe (8) 7 down: Randroids, for example (12) 12 down: First American World Chess Champion (7) 17 down: Circle of influence (5) Extrowords © 2007 IndiaUncut.com. All rights reserved. India Uncut * The IU Blog * Rave Out * Extrowords * Workoutable * Linkastic Full Article
ali Extrowords #105: Generalissimo 75 By feedproxy.google.com Published On :: 2007-12-17T06:25:00+00:00 Sample clues 5 across: Robbie Robertson song about Richard Manuel (6,5) 2 down: F5 on a keyboard (7) 10 across: Lionel Richie hit (5) 3 down: ALTAIR, for example (5) 16 down: The problem with Florida 2000 (5) Extrowords © 2007 IndiaUncut.com. All rights reserved. India Uncut * The IU Blog * Rave Out * Extrowords * Workoutable * Linkastic Full Article
ali Extrowords #106: Generalissimo 76 By feedproxy.google.com Published On :: 2007-12-21T18:15:00+00:00 Sample clues 9 across: Van Morrison classic from Moondance (7) 6 down: Order beginning with ‘A’ (12) 6 across: Fatal weakness (8,4) 19 across: Rolling Stones classic (12) 4 down: Massacre tool (8) Extrowords © 2007 IndiaUncut.com. All rights reserved. India Uncut * The IU Blog * Rave Out * Extrowords * Workoutable * Linkastic Full Article
ali India’s Problem is Poverty, Not Inequality By feedproxy.google.com Published On :: 2019-02-17T04:23:30+00:00 This is the 16th installment of The Rationalist, my column for the Times of India. Steven Pinker, in his book Enlightenment Now, relates an old Russian joke about two peasants named Boris and Igor. They are both poor. Boris has a goat. Igor does not. One day, Igor is granted a wish by a visiting fairy. What will he wish for? “I wish,” he says, “that Boris’s goat should die.” The joke ends there, revealing as much about human nature as about economics. Consider the three things that happen if the fairy grants the wish. One, Boris becomes poorer. Two, Igor stays poor. Three, inequality reduces. Is any of them a good outcome? I feel exasperated when I hear intellectuals and columnists talking about economic inequality. It is my contention that India’s problem is poverty – and that poverty and inequality are two very different things that often do not coincide. To illustrate this, I sometimes ask this question: In which of the following countries would you rather be poor: USA or Bangladesh? The obvious answer is USA, where the poor are much better off than the poor of Bangladesh. And yet, while Bangladesh has greater poverty, the USA has higher inequality. Indeed, take a look at the countries of the world measured by the Gini Index, which is that standard metric used to measure inequality, and you will find that USA, Hong Kong, Singapore and the United Kingdom all have greater inequality than Bangladesh, Liberia, Pakistan and Sierra Leone, which are much poorer. And yet, while the poor of Bangladesh would love to migrate to unequal USA, I don’t hear of too many people wishing to go in the opposite direction. Indeed, people vote with their feet when it comes to choosing between poverty and inequality. All of human history is a story of migration from rural areas to cities – which have greater inequality. If poverty and inequality are so different, why do people conflate the two? A key reason is that we tend to think of the world in zero-sum ways. For someone to win, someone else must lose. If the rich get richer, the poor must be getting poorer, and the presence of poverty must be proof of inequality. But that’s not how the world works. The pie is not fixed. Economic growth is a positive-sum game and leads to an expansion of the pie, and everybody benefits. In absolute terms, the rich get richer, and so do the poor, often enough to come out of poverty. And so, in any growing economy, as poverty reduces, inequality tends to increase. (This is counter-intuitive, I know, so used are we to zero-sum thinking.) This is exactly what has happened in India since we liberalised parts of our economy in 1991. Most people who complain about inequality in India are using the wrong word, and are really worried about poverty. Put a millionaire in a room with a billionaire, and no one will complain about the inequality in that room. But put a starving beggar in there, and the situation is morally objectionable. It is the poverty that makes it a problem, not the inequality. You might think that this is just semantics, but words matter. Poverty and inequality are different phenomena with opposite solutions. You can solve for inequality by making everyone equally poor. Or you could solve for it by redistributing from the rich to the poor, as if the pie was fixed. The problem with this, as any economist will tell you, is that there is a trade-off between redistribution and growth. All redistribution comes at the cost of growing the pie – and only growth can solve the problem of poverty in a country like ours. It has been estimated that in India, for every one percent rise in GDP, two million people come out of poverty. That is a stunning statistic. When millions of Indians don’t have enough money to eat properly or sleep with a roof over their heads, it is our moral imperative to help them rise out of poverty. The policies that will make this possible – allowing free markets, incentivising investment and job creation, removing state oppression – are likely to lead to greater inequality. So what? It is more urgent to make sure that every Indian has enough to fulfil his basic needs – what the philosopher Harry Frankfurt, in his fine book On Inequality, called the Doctrine of Sufficiency. The elite in their airconditioned drawing rooms, and those who live in rich countries, can follow the fashions of the West and talk compassionately about inequality. India does not have that luxury. © 2007 IndiaUncut.com. All rights reserved. India Uncut * The IU Blog * Rave Out * Extrowords * Workoutable * Linkastic Full Article
ali We Must Reclaim Nationalism From the BJP By feedproxy.google.com Published On :: 2019-04-14T03:13:32+00:00 This is the 18th installment of The Rationalist, my column for the Times of India. The man who gave us our national anthem, Rabindranath Tagore, once wrote that nationalism was “a great menace.” He went on to say, “It is the particular thing which for years has been at the bottom of India’s troubles.” Not just India’s, but the world’s: In his book The Open Society and its Enemies, published in 1945 as Adolf Hitler was defeated, Karl Popper ripped into nationalism, with all its “appeals to our tribal instincts, to passion and to prejudice, and to our nostalgic desire to be relieved from the strain of individual responsibility which it attempts to replace by a collective or group responsibility.” Nationalism is resurgent today, stomping across the globe hand-in-hand with populism. In India, too, it is tearing us apart. But must nationalism always be a bad thing? A provocative new book by the Israeli thinker Yael Tamir argues otherwise. In her book Why Nationalism, Tamir makes the following arguments. One, nation-states are here to stay. Two, the state needs the nation to be viable. Three, people need nationalism for the sense of community and belonging it gives them. Four, therefore, we need to build a better nationalism, which brings people together instead of driving them apart. The first point needs no elaboration. We are a globalised world, but we are also trapped by geography and circumstance. “Only 3.3 percent of the world’s population,” Tamir points out, “lives outside their country of birth.” Nutopia, the borderless state dreamed up by John Lennon and Yoko Ono, is not happening anytime soon. If the only thing that citizens of a state have in common is geographical circumstance, it is not enough. If the state is a necessary construct, a nation is its necessary justification. “Political institutions crave to form long-term political bonding,” writes Tamir, “and for that matter they must create a community that is neither momentary nor meaningless.” Nationalism, she says, “endows the state with intimate feelings linking the past, the present, and the future.” More pertinently, Tamir argues, people need nationalism. I am a humanist with a belief in individual rights, but Tamir says that this is not enough. “The term ‘human’ is a far too thin mode of delineation,” she writes. “Individuals need to rely on ‘thick identities’ to make their lives meaningful.” This involves a shared past, a common culture and distinctive values. Tamir also points out that there is a “strong correlation between social class and political preferences.” The privileged elites can afford to be globalists, but those less well off are inevitably drawn to other narratives that enrich their lives. “Rather than seeing nationalism as the last refuge of the scoundrel,” writes Tamir, “we should start thinking of nationalism as the last hope of the needy.” Tamir’s book bases its arguments on the West, but the argument holds in India as well. In a country with so much poverty, is it any wonder that nationalism is on the rise? The cosmopolitan, globe-trotting elites don’t have daily realities to escape, but how are those less fortunate to find meaning in their lives? I have one question, though. Why is our nationalism so exclusionary when our nation is so inclusive? In the nationalism that our ruling party promotes, there are some communities who belong here, and others who don’t. (And even among those who ‘belong’, they exploit divisions.) In their us-vs-them vision of the world, some religions are foreign, some values are foreign, even some culinary traditions are foreign – and therefore frowned upon. But the India I know and love is just the opposite of that. We embrace influences from all over. Our language, our food, our clothes, our music, our cinema have absorbed so many diverse influences that to pretend they come from a single legit source is absurd. (Even the elegant churidar-kurtas our prime minister wears have an Islamic origin.) As an example, take the recent film Gully Boy: its style of music, the clothes its protagonists wear, even the attitudes in the film would have seemed alien to us a few decades ago. And yet, could there be a truer portrait of young India? This inclusiveness, this joyous khichdi that we are, is what makes our nation a model for the rest of the world. No nation embraces all other nations as ours does. My India celebrates differences, and I do as well. I wear my kurta with jeans, I listen to ghazals, I eat dhansak and kababs, and I dream in the Indian language called English. This is my nationalism. Those who try to divide us, therefore, are the true anti-nationals. We must reclaim nationalism from them. © 2007 IndiaUncut.com. All rights reserved. India Uncut * The IU Blog * Rave Out * Extrowords * Workoutable * Linkastic Full Article
ali DAC 2015: How Academia and Industry Collaboration Can Revitalize EDA By feedproxy.google.com Published On :: Wed, 17 Jun 2015 21:14:00 GMT Let’s face it – the EDA industry needs new people and new ideas. One of the best places to find both is academia, and a presentation at the Cadence Theater at the recent Design Automation Conference (DAC 2015) described collaboration models that are working today. The presentation was titled “Industry/Academia Engagement Models – From PhD Contests to R&D Collaborations.” It included these speakers, shown from left to right in the photo below: Prof. Xin Li, Electrical and Computer Engineering, Carnegie-Mellon University (CMU) Chuck Alpert, Senior Software Architect, Cadence Prof. Laleh Behjat, Department of Electrical and Computer Engineering, University of Calgary Alpert, who was filling in for Zhuo Li, Software Architect at Cadence, was the vice chair of DAC 2015 and will be the general chair of DAC 2016 in Austin, Texas. “My team at Cadence really likes to collaborate with universities,” he said. “We’re a big proponent of education because we really need the best and brightest students in our industry.” Contests Boost EDA Research One way that Cadence collaborates with academia is participation in contests. “It’s a great way to formulate problems to academia,” Alpert said. “We can have the universities work on these problems and get some strategic direction.” For example, Cadence has been involved with the annual CAD contest at the International Conference on Computer-Aided Design (ICCAD) since the contest was launched in 2012. This is the largest worldwide EDA R&D contest, and it is sponsored by the IEEE Council on EDA (CEDA) and the Taiwan Ministry of Education. Its goals are to boost EDA research in advanced real-world problems and to foster industry-academia collaboration. Contestants can participate in one of more problems in the three areas of system design, logic synthesis and verification, and physical design. The 2015 contest has attracted 112 teams from 12 regions. Cadence contributes one problem per year in the logic synthesis area. Zhuo Li was the 2012 co-chair and the 2013 chair. The awards will be given at ICCAD in November 2015. Another step that Cadence has taken, Alpert said, is to “hire lots of interns.” His own team has four interns at the moment. One advantage to interning at Cadence, he said, is that students get to see real-world designs and understand how the tools work. “It helps you drive your research in a more practical and useful direction,” he said. The Cadence Academic Network co-sponsors the ACM SIGDA PhD Forum at DAC, and Xin Li and Zhuo Li are on the organizing committee. This event is a poster session for PhD students to present and discuss their dissertation research with people in the EDA community. This year’s forum was “packed,” Alpert said, and it’s clear that the event needs a bigger room. Finally, Alpert noted, Cadence researchers write and publish technical papers at DAC and other conferences, and Cadence people serve on the DAC technical program committee. “We try to be involved with the academic community on a regular basis,” Alpert said. “We want the best and the brightest people to go into EDA because there is still so much innovation that’s needed. It’s a really cool place to be.” Research Collaboration Exposes Failure Rates Xin Li presented an example of a successful research collaboration between CMU and Cadence. The challenge was to find a better way to estimate potential failure rates in memory. As noted in a previous blog post, PhD student Shupeng Sun met this challenge with a new statistical methodology that won a Best Poster award at the ACM SIGDA PhD Forum at DAC 2014. The new methodology is called Scaled-Sigma Sampling (SSS). It calculates the failure rate and accounts for variability in the manufacturing process while only requiring a few hundred, or a few thousand, sample circuit blocks. Previously, millions of samples were required for an accurate validation of a new design, and each sample could take minutes or hours to simulate. It could take a few weeks or months to run one validation. The SSS methodology requires greatly reduced simulation times. It makes it possible, Li noted, to run simulations overnight and see the results in the morning. Li shared his secret for success in collaborations. “I want to emphasize that before the collaboration, you have to understand the goal. If you don’t have a clear goal, don’t collaborate. Once you define the goal, stick to it and make it happen.” Contest Provides Learning Experience Last year Laleh Behjat handed two of her new PhD students a challenge. “I told them there is an ISPD [International Symposium for Physical Design] contest on placement, and I expect you to participate and I expect you to win. Not knowing anything about placement, I don’t think they realized what I was asking them.” The 2015 contest was called the Blockage-Aware Detailed Routing-Driven Placement Contest. Results were announced at the end of March at ISPD. And the University of Calgary team, despite its lack of placement experience, took second place. Such contests provide a good learning tool, according to Behjat. Graduate students in EDA, she said, “have to be good programmers. They have to work in teams and be collaborative, be able to innovate, and solve the hardest problems I have seen in engineering and science. And they have to think outside the box.” A contest can bring out all these attributes, she said. Further, Behjat noted, contest participants had access to benchmarks and to a placement tool. They didn’t have to write tools to find out if their results were good. Industry sponsors, meanwhile, got access to good students and new approaches for solving problems. “You can see Cadence putting a big amount of time, effort and money to get students here and get them excited about doing contests,” she said. She advised students in the theater audience to “talk to people in the Cadence booth and see if you can have more ideas for collaboration.” Richard Goering Related Blog Posts EDA Plus Academia: A Perfect Game, Set and Match Cadence Aims to Strengthen Academic Partnerships BSIM-CMG FinFET Model – How Academia and Industry Empowered the Next Transistor Full Article ISPD Cadence Academic Network academia-industry collaboration ICCAD DAC 2015 scaled-sigma sampling PhD Forum EDA contests
ali Force cell equivalence between same-footprint and same-functionality hard-macros in Conformal LEC By feedproxy.google.com Published On :: Thu, 14 Nov 2019 19:13:48 GMT For a netlist vs. netlist LEC flow we have to solve the following problem: - in the RTL code we replicate a large array of N x M all-identical hard-macros, let call them MACRO_A - MACRO_A is pre-assembled in Innovus and contains digital parts and analog parts (bottom-up hierarchical flow) - at top-level (full-chip) we instantiate this array of all-identical macros - in the top-level place-and-route flow we perform ecoChangeCell to remaster the top row of this array with MACRO_B - MACRO_B is just a copy of the original MACRO_A cell containing same pins position, same internal digital functionality and also same digital layout, only slight differences in one analog block inside the macro - MACRO_A and MACRO_B have the same .lib file generated with the do_extract_model command at the end of the Innovus flow, they only differ in the name of the macro - when performing post-synthesis netlist vs post-place-and-route we load .lib files of both macros in Conformal LEC - the LEC flow fails because Conformal LEC sees only MACRO_A instantiated in the post-synthesis netlist and both MACRO_A and MACRO_B in the post-palce-and-route netlist Since both digital functionality and STD cells layout are the same between MACRO_A and MACRO_B we don't want to keep track of this difference already at RTL stage, we just want to perform this ECO change in place-and-route and force Conformal to assume equivalence between MACRO_A and MACRO_B . Basically what I'm searching for is something similar to the add_instance_equivalences Conformal command but that works between Golden and Revised designs on cell primitives/black-boxes . Is this flow supported ? Thanks in advance Luca Full Article
ali Sweep harmonic balance (hb) realibility (aging) simulation By feedproxy.google.com Published On :: Tue, 05 May 2020 17:22:25 GMT hi everyone, i'm trying to create a netlist for aging simulation. i would like to simulate how power, Gain and PAE (efficiency) are inlfuenced after 3 hours i would be grateful if someone can correct my syntax in the netlist since i'm trying to make a sweep HB simulation where the input power is the parameter. i did it without any error for the sp (S parameters) simulation. you can see the images for both sp and hb simulation netlists. (from left to right: sp aging netlist; hb aging netlist) i will be grateful if someone can provide me some syntax advices. thanks, best regards Full Article
ali India’s Problem is Poverty, Not Inequality By feedproxy.google.com Published On :: 2019-02-17T04:23:30+00:00 This is the 16th installment of The Rationalist, my column for the Times of India. Steven Pinker, in his book Enlightenment Now, relates an old Russian joke about two peasants named Boris and Igor. They are both poor. Boris has a goat. Igor does not. One day, Igor is granted a wish by a visiting fairy. What will he wish for? “I wish,” he says, “that Boris’s goat should die.” The joke ends there, revealing as much about human nature as about economics. Consider the three things that happen if the fairy grants the wish. One, Boris becomes poorer. Two, Igor stays poor. Three, inequality reduces. Is any of them a good outcome? I feel exasperated when I hear intellectuals and columnists talking about economic inequality. It is my contention that India’s problem is poverty – and that poverty and inequality are two very different things that often do not coincide. To illustrate this, I sometimes ask this question: In which of the following countries would you rather be poor: USA or Bangladesh? The obvious answer is USA, where the poor are much better off than the poor of Bangladesh. And yet, while Bangladesh has greater poverty, the USA has higher inequality. Indeed, take a look at the countries of the world measured by the Gini Index, which is that standard metric used to measure inequality, and you will find that USA, Hong Kong, Singapore and the United Kingdom all have greater inequality than Bangladesh, Liberia, Pakistan and Sierra Leone, which are much poorer. And yet, while the poor of Bangladesh would love to migrate to unequal USA, I don’t hear of too many people wishing to go in the opposite direction. Indeed, people vote with their feet when it comes to choosing between poverty and inequality. All of human history is a story of migration from rural areas to cities – which have greater inequality. If poverty and inequality are so different, why do people conflate the two? A key reason is that we tend to think of the world in zero-sum ways. For someone to win, someone else must lose. If the rich get richer, the poor must be getting poorer, and the presence of poverty must be proof of inequality. But that’s not how the world works. The pie is not fixed. Economic growth is a positive-sum game and leads to an expansion of the pie, and everybody benefits. In absolute terms, the rich get richer, and so do the poor, often enough to come out of poverty. And so, in any growing economy, as poverty reduces, inequality tends to increase. (This is counter-intuitive, I know, so used are we to zero-sum thinking.) This is exactly what has happened in India since we liberalised parts of our economy in 1991. Most people who complain about inequality in India are using the wrong word, and are really worried about poverty. Put a millionaire in a room with a billionaire, and no one will complain about the inequality in that room. But put a starving beggar in there, and the situation is morally objectionable. It is the poverty that makes it a problem, not the inequality. You might think that this is just semantics, but words matter. Poverty and inequality are different phenomena with opposite solutions. You can solve for inequality by making everyone equally poor. Or you could solve for it by redistributing from the rich to the poor, as if the pie was fixed. The problem with this, as any economist will tell you, is that there is a trade-off between redistribution and growth. All redistribution comes at the cost of growing the pie – and only growth can solve the problem of poverty in a country like ours. It has been estimated that in India, for every one percent rise in GDP, two million people come out of poverty. That is a stunning statistic. When millions of Indians don’t have enough money to eat properly or sleep with a roof over their heads, it is our moral imperative to help them rise out of poverty. The policies that will make this possible – allowing free markets, incentivising investment and job creation, removing state oppression – are likely to lead to greater inequality. So what? It is more urgent to make sure that every Indian has enough to fulfil his basic needs – what the philosopher Harry Frankfurt, in his fine book On Inequality, called the Doctrine of Sufficiency. The elite in their airconditioned drawing rooms, and those who live in rich countries, can follow the fashions of the West and talk compassionately about inequality. India does not have that luxury. The India Uncut Blog © 2010 Amit Varma. All rights reserved. Follow me on Twitter. Full Article
ali We Must Reclaim Nationalism From the BJP By feedproxy.google.com Published On :: 2019-04-14T03:13:32+00:00 This is the 18th installment of The Rationalist, my column for the Times of India. The man who gave us our national anthem, Rabindranath Tagore, once wrote that nationalism was “a great menace.” He went on to say, “It is the particular thing which for years has been at the bottom of India’s troubles.” Not just India’s, but the world’s: In his book The Open Society and its Enemies, published in 1945 as Adolf Hitler was defeated, Karl Popper ripped into nationalism, with all its “appeals to our tribal instincts, to passion and to prejudice, and to our nostalgic desire to be relieved from the strain of individual responsibility which it attempts to replace by a collective or group responsibility.” Nationalism is resurgent today, stomping across the globe hand-in-hand with populism. In India, too, it is tearing us apart. But must nationalism always be a bad thing? A provocative new book by the Israeli thinker Yael Tamir argues otherwise. In her book Why Nationalism, Tamir makes the following arguments. One, nation-states are here to stay. Two, the state needs the nation to be viable. Three, people need nationalism for the sense of community and belonging it gives them. Four, therefore, we need to build a better nationalism, which brings people together instead of driving them apart. The first point needs no elaboration. We are a globalised world, but we are also trapped by geography and circumstance. “Only 3.3 percent of the world’s population,” Tamir points out, “lives outside their country of birth.” Nutopia, the borderless state dreamed up by John Lennon and Yoko Ono, is not happening anytime soon. If the only thing that citizens of a state have in common is geographical circumstance, it is not enough. If the state is a necessary construct, a nation is its necessary justification. “Political institutions crave to form long-term political bonding,” writes Tamir, “and for that matter they must create a community that is neither momentary nor meaningless.” Nationalism, she says, “endows the state with intimate feelings linking the past, the present, and the future.” More pertinently, Tamir argues, people need nationalism. I am a humanist with a belief in individual rights, but Tamir says that this is not enough. “The term ‘human’ is a far too thin mode of delineation,” she writes. “Individuals need to rely on ‘thick identities’ to make their lives meaningful.” This involves a shared past, a common culture and distinctive values. Tamir also points out that there is a “strong correlation between social class and political preferences.” The privileged elites can afford to be globalists, but those less well off are inevitably drawn to other narratives that enrich their lives. “Rather than seeing nationalism as the last refuge of the scoundrel,” writes Tamir, “we should start thinking of nationalism as the last hope of the needy.” Tamir’s book bases its arguments on the West, but the argument holds in India as well. In a country with so much poverty, is it any wonder that nationalism is on the rise? The cosmopolitan, globe-trotting elites don’t have daily realities to escape, but how are those less fortunate to find meaning in their lives? I have one question, though. Why is our nationalism so exclusionary when our nation is so inclusive? In the nationalism that our ruling party promotes, there are some communities who belong here, and others who don’t. (And even among those who ‘belong’, they exploit divisions.) In their us-vs-them vision of the world, some religions are foreign, some values are foreign, even some culinary traditions are foreign – and therefore frowned upon. But the India I know and love is just the opposite of that. We embrace influences from all over. Our language, our food, our clothes, our music, our cinema have absorbed so many diverse influences that to pretend they come from a single legit source is absurd. (Even the elegant churidar-kurtas our prime minister wears have an Islamic origin.) As an example, take the recent film Gully Boy: its style of music, the clothes its protagonists wear, even the attitudes in the film would have seemed alien to us a few decades ago. And yet, could there be a truer portrait of young India? This inclusiveness, this joyous khichdi that we are, is what makes our nation a model for the rest of the world. No nation embraces all other nations as ours does. My India celebrates differences, and I do as well. I wear my kurta with jeans, I listen to ghazals, I eat dhansak and kababs, and I dream in the Indian language called English. This is my nationalism. Those who try to divide us, therefore, are the true anti-nationals. We must reclaim nationalism from them. The India Uncut Blog © 2010 Amit Varma. All rights reserved. Follow me on Twitter. Full Article
ali Extrowords #97: Generalissimo 68 By feedproxy.google.com Published On :: 2007-08-16T00:11:00+00:00 Sample clues 18 across: Makoto Hagiwara and David Jung both claim to have invented it (7,6) 1 down: French impressionist who rejected that term (5) 3 down: Artificial surface used for playing hockey (9) 7 down: The sequel to Iliad (7) 12 down: Adipose tissue (4,3) Extrowords © 2007 IndiaUncut.com. All rights reserved. India Uncut * The IU Blog * Rave Out * Extrowords * Workoutable * Linkastic Full Article